ISO-10303-21; HEADER; FILE_DESCRIPTION((''),'2;1'); FILE_NAME('D2368AA_3DPL','2018-01-16T',('MertesA'),(''), 'CREO PARAMETRIC BY PTC INC, 2016130','CREO PARAMETRIC BY PTC INC, 2016130',''); FILE_SCHEMA(('AUTOMOTIVE_DESIGN { 1 0 10303 214 1 1 1 1 }')); ENDSEC; DATA; #29=CARTESIAN_POINT('',(1.135402508563E-3,-1.103808197157E1,1.368449139535E2)); #30=CARTESIAN_POINT('',(8.110500683028E-1,-1.102307037393E1,1.368408916081E2)); #31=CARTESIAN_POINT('',(2.429650643115E0,-1.098616439540E1,1.370058047191E2)); #32=CARTESIAN_POINT('',(4.754199147676E0,-1.091325961306E1,1.377613351191E2)); #33=CARTESIAN_POINT('',(6.881958694451E0,-1.083749093001E1,1.389971019492E2)); #34=CARTESIAN_POINT('',(8.745806376267E0,-1.074410096622E1,1.406967258681E2)); #35=CARTESIAN_POINT('',(1.019730865144E1,-1.052818940099E1,1.427044931427E2)); #36=CARTESIAN_POINT('',(1.119934699118E1,-1.014133151423E1,1.449189810919E2)); #37=CARTESIAN_POINT('',(1.171415072962E1,-9.612402511985E0,1.472519402341E2)); #38=CARTESIAN_POINT('',(1.172807910074E1,-8.955966152252E0,1.495929072963E2)); #39=CARTESIAN_POINT('',(1.123351029102E1,-8.199337060197E0,1.518924698284E2)); #40=CARTESIAN_POINT('',(1.023557357895E1,-7.414542374313E0,1.540399492325E2)); #41=CARTESIAN_POINT('',(8.775683687389E0,-6.669367674244E0,1.559347740029E2)); #42=CARTESIAN_POINT('',(6.931393060540E0,-6.044862719937E0,1.574892886333E2)); #43=CARTESIAN_POINT('',(4.790282183067E0,-5.592309479794E0,1.586470403640E2)); #44=CARTESIAN_POINT('',(2.445862153309E0,-5.341883078441E0,1.593673170998E2)); #45=CARTESIAN_POINT('',(8.135984226046E-1,-5.318204100988E0,1.595377397338E2)); #46=CARTESIAN_POINT('',(-8.960708546620E-4,-5.342928674150E0,1.595443646632E2)); #48=CARTESIAN_POINT('',(-8.960708546620E-4,-5.342928674150E0,1.595443646632E2)); #49=CARTESIAN_POINT('',(-6.315954555164E-4,-5.260291498752E0,1.596434186960E2)); #50=CARTESIAN_POINT('',(-1.413951224463E-3,-5.092642826315E0,1.598405432220E2)); #51=CARTESIAN_POINT('',(-3.343169709549E-4,-4.920225201609E0,1.600354940550E2)); #52=CARTESIAN_POINT('',(0.E0,-4.832816846400E0,1.601323740082E2)); #54=CARTESIAN_POINT('',(1.444230875388E-13,-1.093280855131E1,1.360920125852E2)); #55=CARTESIAN_POINT('',(6.952187802081E-1,-1.093190743549E1,1.360860844570E2)); #56=CARTESIAN_POINT('',(2.156776103414E0,-1.092247780877E1,1.361965084723E2)); #57=CARTESIAN_POINT('',(4.657744487276E0,-1.087133678155E1,1.369150304979E2)); #58=CARTESIAN_POINT('',(6.941667871138E0,-1.080471889393E1,1.381435016962E2)); #59=CARTESIAN_POINT('',(8.965279415928E0,-1.073289712029E1,1.398739334605E2)); #60=CARTESIAN_POINT('',(1.048609826538E1,-1.058113096085E1,1.418304442262E2)); #61=CARTESIAN_POINT('',(1.167554486908E1,-1.022707719631E1,1.441345751524E2)); #62=CARTESIAN_POINT('',(1.236377891410E1,-9.696924248840E0,1.466244370197E2)); #63=CARTESIAN_POINT('',(1.246298665841E1,-9.005885671825E0,1.491843926948E2)); #64=CARTESIAN_POINT('',(1.204694228372E1,-8.224847772356E0,1.515682607323E2)); #65=CARTESIAN_POINT('',(1.127119692982E1,-7.519391538180E0,1.535060107865E2)); #66=CARTESIAN_POINT('',(1.023966648692E1,-6.863258098831E0,1.551827648547E2)); #67=CARTESIAN_POINT('',(8.970497504627E0,-6.268783535236E0,1.566315566525E2)); #68=CARTESIAN_POINT('',(7.323972185556E0,-5.715429660871E0,1.579694145952E2)); #69=CARTESIAN_POINT('',(5.085015505412E0,-5.198769135468E0,1.592067503879E2)); #70=CARTESIAN_POINT('',(2.569832301683E0,-4.884811561694E0,1.599800632706E2)); #71=CARTESIAN_POINT('',(8.535588344474E-1,-4.825209672820E0,1.601408054558E2)); #72=CARTESIAN_POINT('',(0.E0,-4.832816846400E0,1.601323740082E2)); #74=CARTESIAN_POINT('',(1.444230875388E-13,-1.093280855131E1,1.360920125852E2)); #75=CARTESIAN_POINT('',(4.015931804789E-4,-1.095126032692E1,1.362133943065E2)); #76=CARTESIAN_POINT('',(1.751782671455E-3,-1.098739668004E1,1.364606884335E2)); #77=CARTESIAN_POINT('',(7.807385646066E-4,-1.102150139894E1,1.367151413855E2)); #78=CARTESIAN_POINT('',(1.135402508563E-3,-1.103808197157E1,1.368449139535E2)); #80=DIRECTION('',(6.657250629824E-4,-9.659255990486E-1,2.588190369983E-1)); #81=VECTOR('',#80,1.346007390267E0); #82=CARTESIAN_POINT('',(-8.960708546620E-4,-5.342928674150E0,1.595443646632E2)); #83=LINE('',#82,#81); #84=DIRECTION('',(-6.601323337038E-4,-9.659256324063E-1,2.588189268307E-1)); #85=VECTOR('',#84,1.719961969127E0); #86=CARTESIAN_POINT('',(1.135402508563E-3,-1.103808197157E1,1.368449139535E2)); #87=LINE('',#86,#85); #88=CARTESIAN_POINT('',(-8.960708546620E-4,-5.342928674150E0,1.595443646632E2)); #89=CARTESIAN_POINT('',(-8.164072712918E-1,-5.318156845767E0,1.595377270718E2)); #90=CARTESIAN_POINT('',(-2.447456704414E0,-5.342285298113E0,1.593669207505E2)); #91=CARTESIAN_POINT('',(-4.791936384914E0,-5.592719023750E0,1.586463985920E2)); #92=CARTESIAN_POINT('',(-6.932428757918E0,-6.045263421661E0,1.574886456438E2)); #93=CARTESIAN_POINT('',(-8.777417331096E0,-6.670110963798E0,1.559330548912E2)); #94=CARTESIAN_POINT('',(-1.023666509528E1,-7.415268537379E0,1.540381008849E2)); #95=CARTESIAN_POINT('',(-1.123414749728E1,-8.200036032924E0,1.518905381803E2)); #96=CARTESIAN_POINT('',(-1.172821301053E1,-8.956339539770E0,1.495912372634E2)); #97=CARTESIAN_POINT('',(-1.171401723711E1,-9.612881077594E0,1.472503666007E2)); #98=CARTESIAN_POINT('',(-1.119865029416E1,-1.014213496988E1,1.449173971175E2)); #99=CARTESIAN_POINT('',(-1.019730859379E1,-1.052780076861E1,1.427040648605E2)); #100=CARTESIAN_POINT('',(-8.742768062588E0,-1.074419914757E1,1.406931775775E2)); #101=CARTESIAN_POINT('',(-6.880533883795E0,-1.083765372016E1,1.389962313782E2)); #102=CARTESIAN_POINT('',(-4.751627005550E0,-1.091311201749E1,1.377600954485E2)); #103=CARTESIAN_POINT('',(-2.427450562350E0,-1.098606077332E1,1.370051405830E2)); #104=CARTESIAN_POINT('',(-8.074182787863E-1,-1.102310579471E1, 1.368409010990E2)); #105=CARTESIAN_POINT('',(1.135402508563E-3,-1.103808197157E1,1.368449139535E2)); #107=CARTESIAN_POINT('',(0.E0,-4.832816846400E0,1.601323740082E2)); #108=CARTESIAN_POINT('',(-8.098057247966E-1,-4.825519562715E0, 1.601404620677E2)); #109=CARTESIAN_POINT('',(-2.439734706152E0,-4.878641004008E0,1.599963943754E2)); #110=CARTESIAN_POINT('',(-4.839817959302E0,-5.160679935657E0,1.592989623746E2)); #111=CARTESIAN_POINT('',(-7.015309646428E0,-5.633056906291E0,1.581671868671E2)); #112=CARTESIAN_POINT('',(-8.689085045422E0,-6.162931384229E0,1.568877528161E2)); #113=CARTESIAN_POINT('',(-9.951361497544E0,-6.714038749610E0,1.555487309848E2)); #114=CARTESIAN_POINT('',(-1.098750431913E1,-7.321212248144E0,1.540229758019E2)); #115=CARTESIAN_POINT('',(-1.180822055112E1,-7.977676675388E0,1.522612230951E2)); #116=CARTESIAN_POINT('',(-1.234642834883E1,-8.689468101339E0,1.501861722223E2)); #117=CARTESIAN_POINT('',(-1.246426103237E1,-9.406281691124E0,1.477647325800E2)); #118=CARTESIAN_POINT('',(-1.209344482323E1,-9.970921597891E0,1.454067084570E2)); #119=CARTESIAN_POINT('',(-1.118917775191E1,-1.040268489671E1,1.431009511604E2)); #120=CARTESIAN_POINT('',(-1.000955246637E1,-1.064444268009E1,1.411274258480E2)); #121=CARTESIAN_POINT('',(-8.486283294105E0,-1.075355374571E1,1.394369804029E2)); #122=CARTESIAN_POINT('',(-6.607595514928E0,-1.081413804331E1,1.379326790775E2)); #123=CARTESIAN_POINT('',(-4.411022798265E0,-1.087749009411E1,1.368277219305E2)); #124=CARTESIAN_POINT('',(-2.042215749572E0,-1.092342386691E1,1.361852733862E2)); #125=CARTESIAN_POINT('',(-6.581902787152E-1,-1.093196215649E1, 1.360864450099E2)); #126=CARTESIAN_POINT('',(1.444230875388E-13,-1.093280855131E1, 1.360920125852E2)); #128=CARTESIAN_POINT('',(2.215968009032E1,-3.752158178150E1,1.926203664668E1)); #129=CARTESIAN_POINT('',(2.196047388230E1,-3.821064188987E1,1.908108403234E1)); #130=CARTESIAN_POINT('',(2.149189898987E1,-3.958009642530E1,1.872697897456E1)); #131=CARTESIAN_POINT('',(2.051056285396E1,-4.169768792842E1,1.818993061065E1)); #132=CARTESIAN_POINT('',(1.936828834634E1,-4.361298406366E1,1.770324039525E1)); #133=CARTESIAN_POINT('',(1.812113747472E1,-4.532330239517E1,1.725715782298E1)); #134=CARTESIAN_POINT('',(1.669796879760E1,-4.694776429773E1,1.681519416624E1)); #135=CARTESIAN_POINT('',(1.500387942847E1,-4.853118862432E1,1.635853946577E1)); #136=CARTESIAN_POINT('',(1.329224134506E1,-4.984295214776E1,1.595455671357E1)); #137=CARTESIAN_POINT('',(1.153614273061E1,-5.096520826583E1,1.558805301626E1)); #138=CARTESIAN_POINT('',(9.580559533028E0,-5.198056655439E1,1.523667087685E1)); #139=CARTESIAN_POINT('',(7.481734551338E0,-5.282475102629E1,1.492801765699E1)); #140=CARTESIAN_POINT('',(5.328212457098E0,-5.344658879391E1,1.469005197444E1)); #141=CARTESIAN_POINT('',(3.388275200741E0,-5.382838248071E1,1.453937139110E1)); #142=CARTESIAN_POINT('',(1.067045566047E0,-5.406965089637E1,1.444222136831E1)); #143=CARTESIAN_POINT('',(-1.319105668567E0,-5.405542340019E1,1.444800885198E1)); #144=CARTESIAN_POINT('',(-3.607554800704E0,-5.379303086728E1,1.455346778430E1)); #145=CARTESIAN_POINT('',(-5.516576212982E0,-5.339964896667E1,1.470829462271E1)); #146=CARTESIAN_POINT('',(-7.637993964612E0,-5.276893625251E1,1.494884785362E1)); #147=CARTESIAN_POINT('',(-9.695857652465E0,-5.192601362495E1,1.525599902198E1)); #148=CARTESIAN_POINT('',(-1.161931177304E1,-5.091575245036E1,1.560456935897E1)); #149=CARTESIAN_POINT('',(-1.333574179426E1,-4.981062948861E1,1.596462389634E1)); #150=CARTESIAN_POINT('',(-1.500739014242E1,-4.852653595007E1,1.635975865137E1)); #151=CARTESIAN_POINT('',(-1.668545643462E1,-4.696046995905E1,1.681162183367E1)); #152=CARTESIAN_POINT('',(-1.811632603007E1,-4.533008439466E1,1.725540191111E1)); #153=CARTESIAN_POINT('',(-1.936975888633E1,-4.361094168277E1,1.770376942473E1)); #154=CARTESIAN_POINT('',(-2.051053215057E1,-4.169749974985E1,1.818997568346E1)); #155=CARTESIAN_POINT('',(-2.149151529178E1,-3.958106431119E1,1.872673338826E1)); #156=CARTESIAN_POINT('',(-2.196032949013E1,-3.821114155628E1,1.908095286235E1)); #157=CARTESIAN_POINT('',(-2.215968009283E1,-3.752158178874E1,1.926203667140E1)); #159=CARTESIAN_POINT('',(-2.215968009283E1,-3.752158178874E1,1.926203667140E1)); #160=CARTESIAN_POINT('',(-2.240241975784E1,-3.668177030680E1,1.948258707372E1)); #161=CARTESIAN_POINT('',(-2.276048600074E1,-3.506828676293E1,1.991447523086E1)); #162=CARTESIAN_POINT('',(-2.305012908078E1,-3.288721888962E1,2.051698742929E1)); #163=CARTESIAN_POINT('',(-2.319437402054E1,-3.089198306190E1,2.108072083581E1)); #164=CARTESIAN_POINT('',(-2.325104958776E1,-2.906688144601E1,2.160486084365E1)); #165=CARTESIAN_POINT('',(-2.325972904515E1,-2.744555386885E1,2.207499629253E1)); #166=CARTESIAN_POINT('',(-2.324626313359E1,-2.595119727533E1,2.251029607887E1)); #167=CARTESIAN_POINT('',(-2.322149553370E1,-2.441597023318E1,2.295795186442E1)); #168=CARTESIAN_POINT('',(-2.319585306731E1,-2.284456995805E1,2.341626601924E1)); #169=CARTESIAN_POINT('',(-2.318244218829E1,-2.123446037173E1,2.388560598878E1)); #170=CARTESIAN_POINT('',(-2.319005701518E1,-2.022066065020E1,2.418065006551E1)); #171=CARTESIAN_POINT('',(-2.319921108050E1,-1.972120492288E1,2.432417524401E1)); #173=CARTESIAN_POINT('',(-2.319921108050E1,-1.972120492288E1,2.432417524401E1)); #174=CARTESIAN_POINT('',(-2.320599041708E1,-1.935172755443E1,2.443031648254E1)); #175=CARTESIAN_POINT('',(-2.321916464557E1,-1.861371361931E1,2.464065453275E1)); #176=CARTESIAN_POINT('',(-2.323773440667E1,-1.749837332559E1,2.495462666355E1)); #177=CARTESIAN_POINT('',(-2.325379706274E1,-1.641233210883E1,2.527234266389E1)); #178=CARTESIAN_POINT('',(-2.326721551446E1,-1.533979215297E1,2.561074402931E1)); #179=CARTESIAN_POINT('',(-2.327739346823E1,-1.427942738451E1,2.598148936295E1)); #180=CARTESIAN_POINT('',(-2.328382098295E1,-1.321761882334E1,2.640313698751E1)); #181=CARTESIAN_POINT('',(-2.328633750818E1,-1.217308930139E1,2.687095694965E1)); #182=CARTESIAN_POINT('',(-2.328556948649E1,-1.124895278764E1,2.732578884131E1)); #183=CARTESIAN_POINT('',(-2.328166144226E1,-1.026054574786E1,2.785442644159E1)); #184=CARTESIAN_POINT('',(-2.327351331161E1,-9.196144617828E0,2.847888836178E1)); #185=CARTESIAN_POINT('',(-2.326001744779E1,-8.057440977330E0,2.921487444197E1)); #186=CARTESIAN_POINT('',(-2.323987130565E1,-6.852603153460E0,3.007806301086E1)); #187=CARTESIAN_POINT('',(-2.321114932194E1,-5.573695204772E0,3.110023255346E1)); #188=CARTESIAN_POINT('',(-2.317040824119E1,-4.181214577837E0,3.235216356689E1)); #189=CARTESIAN_POINT('',(-2.311332760316E1,-2.689064823378E0,3.389325582643E1)); #190=CARTESIAN_POINT('',(-2.304317041888E1,-1.273581895449E0,3.559380603320E1)); #191=CARTESIAN_POINT('',(-2.296040109224E1,4.506333337351E-2,3.744609721798E1)); #192=CARTESIAN_POINT('',(-2.286723230470E1,1.220056311364E0,3.940137877991E1)); #193=CARTESIAN_POINT('',(-2.276539897813E1,2.237831894373E0,4.143651263430E1)); #194=CARTESIAN_POINT('',(-2.266338465697E1,3.042420615853E0,4.340919997002E1)); #195=CARTESIAN_POINT('',(-2.257141060935E1,3.619940516432E0,4.515104219660E1)); #196=CARTESIAN_POINT('',(-2.248663072607E1,4.048126218168E0,4.674339245109E1)); #197=CARTESIAN_POINT('',(-2.240954870370E1,4.356141000146E0,4.819015015033E1)); #198=CARTESIAN_POINT('',(-2.233864219149E1,4.574179857944E0,4.952208763110E1)); #199=CARTESIAN_POINT('',(-2.227325303745E1,4.721602481281E0,5.075703918919E1)); #200=CARTESIAN_POINT('',(-2.221413978562E1,4.810912192205E0,5.188402716878E1)); #201=CARTESIAN_POINT('',(-2.216205528120E1,4.854797363186E0,5.288818173371E1)); #202=CARTESIAN_POINT('',(-2.211600504718E1,4.866189784139E0,5.378954171764E1)); #203=CARTESIAN_POINT('',(-2.207437086535E1,4.852342518891E0,5.462583549620E1)); #204=CARTESIAN_POINT('',(-2.203516564552E1,4.815657800517E0,5.543596103181E1)); #205=CARTESIAN_POINT('',(-2.199679401937E1,4.753667143469E0,5.625216660949E1)); #206=CARTESIAN_POINT('',(-2.195667907702E1,4.666326948491E0,5.708482666888E1)); #207=CARTESIAN_POINT('',(-2.191539602851E1,4.557980013215E0,5.792673395127E1)); #208=CARTESIAN_POINT('',(-2.187530041851E1,4.440805308189E0,5.872653617039E1)); #209=CARTESIAN_POINT('',(-2.183627118912E1,4.321214608909E0,5.948529955746E1)); #210=CARTESIAN_POINT('',(-2.179594830779E1,4.196337648043E0,6.024886904089E1)); #211=CARTESIAN_POINT('',(-2.175087625139E1,4.062285721837E0,6.106556780592E1)); #212=CARTESIAN_POINT('',(-2.170221452917E1,3.921091615997E0,6.194997219432E1)); #213=CARTESIAN_POINT('',(-2.164979282807E1,3.769178619623E0,6.291609399167E1)); #214=CARTESIAN_POINT('',(-2.159328618716E1,3.602836083764E0,6.397407639967E1)); #215=CARTESIAN_POINT('',(-2.153263110719E1,3.422822607544E0,6.510929641507E1)); #216=CARTESIAN_POINT('',(-2.146860749822E1,3.231802049135E0,6.630838023338E1)); #217=CARTESIAN_POINT('',(-2.139972790951E1,3.025679440575E0,6.759867327990E1)); #218=CARTESIAN_POINT('',(-2.132472909468E1,2.801565353013E0,6.900245238799E1)); #219=CARTESIAN_POINT('',(-2.124337366207E1,2.558581996504E0,7.052888435335E1)); #220=CARTESIAN_POINT('',(-2.115506092591E1,2.294506107526E0,7.219038538226E1)); #221=CARTESIAN_POINT('',(-2.105959214265E1,2.008199259887E0,7.399210991917E1)); #222=CARTESIAN_POINT('',(-2.095717329630E1,1.700041683373E0,7.592913451869E1)); #223=CARTESIAN_POINT('',(-2.084797218170E1,1.370736268554E0,7.799791051057E1)); #224=CARTESIAN_POINT('',(-2.073056380900E1,1.016105215335E0,8.022579825645E1)); #225=CARTESIAN_POINT('',(-2.060388798864E1,6.328824020928E-1,8.263360723419E1)); #226=CARTESIAN_POINT('',(-2.046506244746E1,2.122990448937E-1,8.527618945615E1)); #227=CARTESIAN_POINT('',(-2.031780584871E1,-2.343134325978E-1, 8.808207235438E1)); #228=CARTESIAN_POINT('',(-2.015992399530E1,-7.136271950687E-1, 9.109288329381E1)); #229=CARTESIAN_POINT('',(-1.998432445543E1,-1.247525112215E0,9.444594468337E1)); #230=CARTESIAN_POINT('',(-1.979193735876E1,-1.833748550560E0,9.812688998001E1)); #231=CARTESIAN_POINT('',(-1.959554069288E1,-2.433959620203E0,1.018948861154E2)); #232=CARTESIAN_POINT('',(-1.940741445734E1,-3.010921497429E0,1.055163276054E2)); #233=CARTESIAN_POINT('',(-1.923276739391E1,-3.548636821491E0,1.088910555113E2)); #234=CARTESIAN_POINT('',(-1.907711743066E1,-4.029835840769E0,1.119108284437E2)); #235=CARTESIAN_POINT('',(-1.894174575732E1,-4.450002721854E0,1.145470428401E2)); #236=CARTESIAN_POINT('',(-1.882318088326E1,-4.819356259288E0,1.168638351476E2)); #237=CARTESIAN_POINT('',(-1.871814402077E1,-5.147717277513E0,1.189228024365E2)); #238=CARTESIAN_POINT('',(-1.862320262652E1,-5.445461920902E0,1.207894591067E2)); #239=CARTESIAN_POINT('',(-1.853675799302E1,-5.717226716296E0,1.224937101397E2)); #240=CARTESIAN_POINT('',(-1.845785706697E1,-5.965720399926E0,1.240531717280E2)); #241=CARTESIAN_POINT('',(-1.838544341920E1,-6.194301255543E0,1.254877395857E2)); #242=CARTESIAN_POINT('',(-1.831878371440E1,-6.405528661416E0,1.268118869102E2)); #243=CARTESIAN_POINT('',(-1.825602654063E1,-6.605309846496E0,1.280618109362E2)); #244=CARTESIAN_POINT('',(-1.819864368611E1,-6.789134770268E0,1.292089575289E2)); #245=CARTESIAN_POINT('',(-1.814776653735E1,-6.952637692437E0,1.302294913861E2)); #246=CARTESIAN_POINT('',(-1.810134727019E1,-7.101857193427E0,1.311628056063E2)); #247=CARTESIAN_POINT('',(-1.805742189074E1,-7.242717846530E0,1.320469204841E2)); #248=CARTESIAN_POINT('',(-1.801394324881E1,-7.381829772438E0,1.329244581804E2)); #249=CARTESIAN_POINT('',(-1.796954282871E1,-7.522195492982E0,1.338153914990E2)); #250=CARTESIAN_POINT('',(-1.792346352628E1,-7.667893479611E0,1.347348250200E2)); #251=CARTESIAN_POINT('',(-1.787601217710E1,-7.820173764207E0,1.356708178809E2)); #252=CARTESIAN_POINT('',(-1.783370655744E1,-7.967143222102E0,1.365590595658E2)); #253=CARTESIAN_POINT('',(-1.779856921473E1,-8.089418689675E0,1.373270800604E2)); #254=CARTESIAN_POINT('',(-1.776689372079E1,-8.193425283136E0,1.380244091396E2)); #255=CARTESIAN_POINT('',(-1.773493265405E1,-8.288649672718E0,1.387358666706E2)); #256=CARTESIAN_POINT('',(-1.770126099656E1,-8.369843338923E0,1.394688536488E2)); #257=CARTESIAN_POINT('',(-1.766564346806E1,-8.428095502252E0,1.402122039687E2)); #258=CARTESIAN_POINT('',(-1.762397020743E1,-8.460778896954E0,1.409452998518E2)); #259=CARTESIAN_POINT('',(-1.757693593356E1,-8.471539037847E0,1.416713227860E2)); #260=CARTESIAN_POINT('',(-1.752115817850E1,-8.461159715766E0,1.424388482198E2)); #261=CARTESIAN_POINT('',(-1.745224141004E1,-8.422966175914E0,1.433018498405E2)); #262=CARTESIAN_POINT('',(-1.736178029975E1,-8.340479530441E0,1.443182674723E2)); #263=CARTESIAN_POINT('',(-1.724942934855E1,-8.200173406079E0,1.454403331019E2)); #264=CARTESIAN_POINT('',(-1.711903899902E1,-8.000002753002E0,1.465971312662E2)); #265=CARTESIAN_POINT('',(-1.697404202744E1,-7.745159009231E0,1.477428096936E2)); #266=CARTESIAN_POINT('',(-1.682013806766E1,-7.447625577505E0,1.488364146590E2)); #267=CARTESIAN_POINT('',(-1.666595156908E1,-7.128652828902E0,1.498329659537E2)); #268=CARTESIAN_POINT('',(-1.651603511279E1,-6.803753164401E0,1.507238187222E2)); #269=CARTESIAN_POINT('',(-1.637121304712E1,-6.479835930353E0,1.515220461780E2)); #270=CARTESIAN_POINT('',(-1.623211803581E1,-6.161520746189E0,1.522389610844E2)); #271=CARTESIAN_POINT('',(-1.609842762037E1,-5.850354220151E0,1.528876873689E2)); #272=CARTESIAN_POINT('',(-1.597032652658E1,-5.548543435304E0,1.534754463287E2)); #273=CARTESIAN_POINT('',(-1.585330672925E1,-5.269560738640E0,1.539873623027E2)); #274=CARTESIAN_POINT('',(-1.574559790608E1,-5.008362987316E0,1.544421596053E2)); #275=CARTESIAN_POINT('',(-1.564087708749E1,-4.750394606089E0,1.548704310250E2)); #276=CARTESIAN_POINT('',(-1.553457408601E1,-4.480579707441E0,1.552963540265E2)); #277=CARTESIAN_POINT('',(-1.542218471751E1,-4.202626118679E0,1.557189005986E2)); #278=CARTESIAN_POINT('',(-1.530571596771E1,-3.924018797246E0,1.561320049281E2)); #279=CARTESIAN_POINT('',(-1.518544813817E1,-3.646760303568E0,1.565370072883E2)); #280=CARTESIAN_POINT('',(-1.506075291604E1,-3.372715890700E0,1.569358719876E2)); #281=CARTESIAN_POINT('',(-1.493408938392E1,-3.099174848603E0,1.573324118803E2)); #282=CARTESIAN_POINT('',(-1.480477107385E1,-2.825223511157E0,1.577284838074E2)); #283=CARTESIAN_POINT('',(-1.467334605780E1,-2.551535696360E0,1.581235794322E2)); #284=CARTESIAN_POINT('',(-1.454034007725E1,-2.278431554416E0,1.585175225765E2)); #285=CARTESIAN_POINT('',(-1.440587948833E1,-2.005267292626E0,1.589113360199E2)); #286=CARTESIAN_POINT('',(-1.426875057159E1,-1.734039529942E0,1.593028816334E2)); #287=CARTESIAN_POINT('',(-1.412946625495E1,-1.470878047555E0,1.596843135629E2)); #288=CARTESIAN_POINT('',(-1.397886838132E1,-1.201021853785E0,1.600772365493E2)); #289=CARTESIAN_POINT('',(-1.379199925666E1,-8.879165435647E-1, 1.605356631156E2)); #290=CARTESIAN_POINT('',(-1.355867447292E1,-5.340236197849E-1, 1.610586015926E2)); #291=CARTESIAN_POINT('',(-1.330350393092E1,-1.827361015853E-1, 1.615809917494E2)); #292=CARTESIAN_POINT('',(-1.304053706855E1,1.523584475304E-1,1.620799269334E2)); #293=CARTESIAN_POINT('',(-1.276166939488E1,4.837886598346E-1,1.625727731773E2)); #294=CARTESIAN_POINT('',(-1.245743152959E1,8.215009688457E-1,1.630733757858E2)); #295=CARTESIAN_POINT('',(-1.211645560797E1,1.174699856630E0,1.635943584093E2)); #296=CARTESIAN_POINT('',(-1.172431302508E1,1.552115428193E0,1.641474732784E2)); #297=CARTESIAN_POINT('',(-1.126427132445E1,1.960599287573E0,1.647414094245E2)); #298=CARTESIAN_POINT('',(-1.071840539138E1,2.403533171580E0,1.653796076768E2)); #299=CARTESIAN_POINT('',(-1.006626786763E1,2.880598792576E0,1.660606466666E2)); #300=CARTESIAN_POINT('',(-9.282233834551E0,3.388311692664E0,1.667796182309E2)); #301=CARTESIAN_POINT('',(-8.338425686088E0,3.915230296984E0,1.675221148210E2)); #302=CARTESIAN_POINT('',(-7.215599875077E0,4.438086126831E0,1.682589140010E2)); #303=CARTESIAN_POINT('',(-5.870185733332E0,4.937240469181E0,1.689656758990E2)); #304=CARTESIAN_POINT('',(-4.265442836751E0,5.376909502084E0,1.695945148672E2)); #305=CARTESIAN_POINT('',(-2.283831235672E0,5.718189175829E0,1.700890593471E2)); #306=CARTESIAN_POINT('',(6.540962295967E-2,5.853597584995E0,1.702872507105E2)); #307=CARTESIAN_POINT('',(2.400866344493E0,5.703787144964E0,1.700680650224E2)); #308=CARTESIAN_POINT('',(4.357319609311E0,5.355840551659E0,1.695641810354E2)); #309=CARTESIAN_POINT('',(5.946611439646E0,4.912250767783E0,1.689301610454E2)); #310=CARTESIAN_POINT('',(7.278611903678E0,4.411448493514E0,1.682213160281E2)); #311=CARTESIAN_POINT('',(8.391736276197E0,3.887731522390E0,1.674834000694E2)); #312=CARTESIAN_POINT('',(9.326476625839E0,3.361414268947E0,1.667416440183E2)); #313=CARTESIAN_POINT('',(1.010246622371E1,2.855477748864E0,1.660249308440E2)); #314=CARTESIAN_POINT('',(1.074847060111E1,2.380219720570E0,1.653461652409E2)); #315=CARTESIAN_POINT('',(1.128943672468E1,1.939129563823E0,1.647103209487E2)); #316=CARTESIAN_POINT('',(1.174568735931E1,1.532277182091E0,1.641185015742E2)); #317=CARTESIAN_POINT('',(1.213507636503E1,1.156051399535E0,1.635669304486E2)); #318=CARTESIAN_POINT('',(1.247436685832E1,8.033106907626E-1,1.630464696499E2)); #319=CARTESIAN_POINT('',(1.277785239509E1,4.651891826532E-1,1.625451516488E2)); #320=CARTESIAN_POINT('',(1.305680971404E1,1.323376645154E-1,1.620501279193E2)); #321=CARTESIAN_POINT('',(1.332049330238E1,-2.051979719940E-1,1.615475505295E2)); #322=CARTESIAN_POINT('',(1.357583293311E1,-5.588063882652E-1,1.610218345964E2)); #323=CARTESIAN_POINT('',(1.380624609083E1,-9.108542344097E-1,1.605019518176E2)); #324=CARTESIAN_POINT('',(1.398922684312E1,-1.219160553274E0,1.600507810538E2)); #325=CARTESIAN_POINT('',(1.413787774942E1,-1.486398546024E0,1.596617690136E2)); #326=CARTESIAN_POINT('',(1.427680113984E1,-1.749655718376E0,1.592803001999E2)); #327=CARTESIAN_POINT('',(1.441374611198E1,-2.021125451791E0,1.588884749560E2)); #328=CARTESIAN_POINT('',(1.454793706793E1,-2.293931280252E0,1.584951609079E2)); #329=CARTESIAN_POINT('',(1.468071828092E1,-2.566786173133E0,1.581015780444E2)); #330=CARTESIAN_POINT('',(1.481204952396E1,-2.840497337161E0,1.577064168285E2)); #331=CARTESIAN_POINT('',(1.494131579448E1,-3.114654188851E0,1.573100143931E2)); #332=CARTESIAN_POINT('',(1.506796776740E1,-3.388379602096E0,1.569131054512E2)); #333=CARTESIAN_POINT('',(1.519250148614E1,-3.662668185799E0,1.565138520822E2)); #334=CARTESIAN_POINT('',(1.531244614277E1,-3.939873143275E0,1.561087036698E2)); #335=CARTESIAN_POINT('',(1.542861133005E1,-4.218268829803E0,1.556954343383E2)); #336=CARTESIAN_POINT('',(1.554059853641E1,-4.495820573131E0,1.552727873050E2)); #337=CARTESIAN_POINT('',(1.564647785635E1,-4.764381798923E0,1.548477231271E2)); #338=CARTESIAN_POINT('',(1.575092298143E1,-5.021357670731E0,1.544200064760E2)); #339=CARTESIAN_POINT('',(1.585787079030E1,-5.280508034898E0,1.539676800954E2)); #340=CARTESIAN_POINT('',(1.597308753352E1,-5.555047968214E0,1.534628980226E2)); #341=CARTESIAN_POINT('',(1.609706760774E1,-5.847114205758E0,1.528936251772E2)); #342=CARTESIAN_POINT('',(1.622373593818E1,-6.142050079005E0,1.522799858092E2)); #343=CARTESIAN_POINT('',(1.635371121954E1,-6.439979032296E0,1.516137777710E2)); #344=CARTESIAN_POINT('',(1.648804334728E1,-6.741635848997E0,1.508812379462E2)); #345=CARTESIAN_POINT('',(1.662676839599E1,-7.044617737226E0,1.500711928391E2)); #346=CARTESIAN_POINT('',(1.676985883857E1,-7.345262044840E0,1.491692719079E2)); #347=CARTESIAN_POINT('',(1.691540369500E1,-7.634182956935E0,1.481706798443E2)); #348=CARTESIAN_POINT('',(1.705729796856E1,-7.894613165102E0,1.470987678776E2)); #349=CARTESIAN_POINT('',(1.719013201026E1,-8.113009854586E0,1.459826065591E2)); #350=CARTESIAN_POINT('',(1.731071538189E1,-8.281265979672E0,1.448453158298E2)); #351=CARTESIAN_POINT('',(1.741389811092E1,-8.392183293731E0,1.437478879786E2)); #352=CARTESIAN_POINT('',(1.749293939517E1,-8.448567269066E0,1.428029061549E2)); #353=CARTESIAN_POINT('',(1.755344975562E1,-8.469664601535E0,1.420032698715E2)); #354=CARTESIAN_POINT('',(1.760354130142E1,-8.468119544163E0,1.412713746381E2)); #355=CARTESIAN_POINT('',(1.764727967550E1,-8.445957325432E0,1.405500839781E2)); #356=CARTESIAN_POINT('',(1.768549437197E1,-8.399798522959E0,1.398074943132E2)); #357=CARTESIAN_POINT('',(1.771984180522E1,-8.327765328544E0,1.390668919768E2)); #358=CARTESIAN_POINT('',(1.775246142337E1,-8.238075766187E0,1.383454232860E2)); #359=CARTESIAN_POINT('',(1.778398305766E1,-8.138283757405E0,1.376480204227E2)); #360=CARTESIAN_POINT('',(1.781697545866E1,-8.025957616595E0,1.369232771367E2)); #361=CARTESIAN_POINT('',(1.785572268528E1,-7.889523467326E0,1.360881683614E2)); #362=CARTESIAN_POINT('',(1.790185661225E1,-7.736472136750E0,1.351611945333E2)); #363=CARTESIAN_POINT('',(1.794886467165E1,-7.587403953296E0,1.342281117059E2)); #364=CARTESIAN_POINT('',(1.799403534073E1,-7.445057898443E0,1.333257188193E2)); #365=CARTESIAN_POINT('',(1.803783865694E1,-7.305403051059E0,1.324415472972E2)); #366=CARTESIAN_POINT('',(1.808134937517E1,-7.166051129391E0,1.315653391342E2)); #367=CARTESIAN_POINT('',(1.812622265021E1,-7.021899878708E0,1.306623839038E2)); #368=CARTESIAN_POINT('',(1.817425586477E1,-6.867489681248E0,1.296978695939E2)); #369=CARTESIAN_POINT('',(1.822739558530E1,-6.696898379767E0,1.286335468226E2)); #370=CARTESIAN_POINT('',(1.828660107587E1,-6.507859910409E0,1.274525097513E2)); #371=CARTESIAN_POINT('',(1.834871428282E1,-6.310588150857E0,1.262169197346E2)); #372=CARTESIAN_POINT('',(1.841498890118E1,-6.100956751974E0,1.249020303115E2)); #373=CARTESIAN_POINT('',(1.848622073058E1,-5.876358600990E0,1.234922363726E2)); #374=CARTESIAN_POINT('',(1.856323884022E1,-5.633920001180E0,1.219712266471E2)); #375=CARTESIAN_POINT('',(1.864690866337E1,-5.371057894651E0,1.203229758079E2)); #376=CARTESIAN_POINT('',(1.873811145979E1,-5.085227986144E0,1.185310190595E2)); #377=CARTESIAN_POINT('',(1.883746799241E1,-4.774813562582E0,1.165844486152E2)); #378=CARTESIAN_POINT('',(1.894520863699E1,-4.439287801676E0,1.144797956595E2)); #379=CARTESIAN_POINT('',(1.906165048922E1,-4.077846255340E0,1.122120523205E2)); #380=CARTESIAN_POINT('',(1.918706168537E1,-3.689849881763E0,1.097772580459E2)); #381=CARTESIAN_POINT('',(1.932247066783E1,-3.272306349450E0,1.071567987772E2)); #382=CARTESIAN_POINT('',(1.947031439661E1,-2.817885632240E0,1.043047152023E2)); #383=CARTESIAN_POINT('',(1.963290646336E1,-2.319690306397E0,1.011775427995E2)); #384=CARTESIAN_POINT('',(1.981042150633E1,-1.777395331567E0,9.777304584073E1)); #385=CARTESIAN_POINT('',(1.999686566277E1,-1.209348367225E0,9.420619486409E1)); #386=CARTESIAN_POINT('',(2.018704122732E1,-6.312212529453E-1,9.057531383729E1)); #387=CARTESIAN_POINT('',(2.037245401815E1,-6.849391515424E-2,8.704037356694E1)); #388=CARTESIAN_POINT('',(2.054292367845E1,4.482844502765E-1,8.379347046870E1)); #389=CARTESIAN_POINT('',(2.069165914357E1,8.984984349319E-1,8.096470971614E1)); #390=CARTESIAN_POINT('',(2.082358860166E1,1.297145723709E0,7.846018701987E1)); #391=CARTESIAN_POINT('',(2.094226610832E1,1.655144342721E0,7.621127625312E1)); #392=CARTESIAN_POINT('',(2.105132433374E1,1.983373831105E0,7.414828373904E1)); #393=CARTESIAN_POINT('',(2.115142645601E1,2.283637999310E0,7.225880465463E1)); #394=CARTESIAN_POINT('',(2.124246634417E1,2.555872738123E0,7.054589265499E1)); #395=CARTESIAN_POINT('',(2.132508589512E1,2.802627991212E0,6.899577019900E1)); #396=CARTESIAN_POINT('',(2.140040459803E1,3.027703625621E0,6.758601227401E1)); #397=CARTESIAN_POINT('',(2.146918890737E1,3.233541698152E0,6.629749496195E1)); #398=CARTESIAN_POINT('',(2.153317718211E1,3.424449005975E0,6.509909455895E1)); #399=CARTESIAN_POINT('',(2.159420158701E1,3.605560801638E0,6.395688775243E1)); #400=CARTESIAN_POINT('',(2.165122726112E1,3.773361912962E0,6.288941276705E1)); #401=CARTESIAN_POINT('',(2.170398652496E1,3.926234769735E0,6.191739874083E1)); #402=CARTESIAN_POINT('',(2.175283168539E1,4.067955732175E0,6.103051071799E1)); #403=CARTESIAN_POINT('',(2.179780606504E1,4.202039091203E0,6.021431238227E1)); #404=CARTESIAN_POINT('',(2.183799501772E1,4.326544332064E0,5.945220257797E1)); #405=CARTESIAN_POINT('',(2.187696785516E1,4.445827912360E0,5.869366045800E1)); #406=CARTESIAN_POINT('',(2.191711280319E1,4.562777332744E0,5.789208861367E1)); #407=CARTESIAN_POINT('',(2.195839813968E1,4.670473503109E0,5.704942524531E1)); #408=CARTESIAN_POINT('',(2.199844348826E1,4.756814543469E0,5.621747122015E1)); #409=CARTESIAN_POINT('',(2.203677894796E1,4.817683644338E0,5.540188560054E1)); #410=CARTESIAN_POINT('',(2.207608687920E1,4.853407391034E0,5.459098725552E1)); #411=CARTESIAN_POINT('',(2.211788635251E1,4.866251390215E0,5.375223464692E1)); #412=CARTESIAN_POINT('',(2.216416130331E1,4.853652453715E0,5.284738830815E1)); #413=CARTESIAN_POINT('',(2.221646999479E1,4.808160628338E0,5.183935498422E1)); #414=CARTESIAN_POINT('',(2.227568548312E1,4.716969315702E0,5.071093171387E1)); #415=CARTESIAN_POINT('',(2.234089322317E1,4.568049774543E0,4.947974699676E1)); #416=CARTESIAN_POINT('',(2.241125257899E1,4.349878442671E0,4.815816966930E1)); #417=CARTESIAN_POINT('',(2.248719782996E1,4.044952734228E0,4.673274198032E1)); #418=CARTESIAN_POINT('',(2.256980487913E1,3.628136261136E0,4.518120595297E1)); #419=CARTESIAN_POINT('',(2.265876451532E1,3.072364884772E0,4.349682124626E1)); #420=CARTESIAN_POINT('',(2.275477104808E1,2.326999359742E0,4.164348773409E1)); #421=CARTESIAN_POINT('',(2.285178354065E1,1.386489436718E0,3.971424722500E1)); #422=CARTESIAN_POINT('',(2.294317543772E1,2.811573765464E-1,3.781549350797E1)); #423=CARTESIAN_POINT('',(2.302654668587E1,-9.843242621688E-1,3.597619037133E1)); #424=CARTESIAN_POINT('',(2.309951693312E1,-2.379946764041E0,3.424191596864E1)); #425=CARTESIAN_POINT('',(2.316102120538E1,-3.901126603594E0,3.262171462569E1)); #426=CARTESIAN_POINT('',(2.320643730867E1,-5.386288393013E0,3.125732917572E1)); #427=CARTESIAN_POINT('',(2.323752259251E1,-6.728979019274E0,3.017067904468E1)); #428=CARTESIAN_POINT('',(2.325903282903E1,-7.983997928752E0,2.926398607079E1)); #429=CARTESIAN_POINT('',(2.327313705955E1,-9.154517177300E0,2.850412207567E1)); #430=CARTESIAN_POINT('',(2.328153595225E1,-1.023649669526E1,2.786770965066E1)); #431=CARTESIAN_POINT('',(2.328553405709E1,-1.123269700876E1,2.733409117107E1)); #432=CARTESIAN_POINT('',(2.328634934538E1,-1.215685475659E1,2.687859755349E1)); #433=CARTESIAN_POINT('',(2.328388480888E1,-1.320328678921E1,2.640915120513E1)); #434=CARTESIAN_POINT('',(2.327748874328E1,-1.426773536052E1,2.598580289836E1)); #435=CARTESIAN_POINT('',(2.326733025846E1,-1.532957782647E1,2.561410369542E1)); #436=CARTESIAN_POINT('',(2.325391055578E1,-1.640428017920E1,2.527475535524E1)); #437=CARTESIAN_POINT('',(2.323782007116E1,-1.749292788445E1,2.495616797223E1)); #438=CARTESIAN_POINT('',(2.321920950899E1,-1.861144243577E1,2.464129390755E1)); #439=CARTESIAN_POINT('',(2.320600338155E1,-1.935101402334E1,2.443052416385E1)); #440=CARTESIAN_POINT('',(2.319921124534E1,-1.972120533543E1,2.432417666072E1)); #442=CARTESIAN_POINT('',(2.319921124534E1,-1.972120533543E1,2.432417666072E1)); #443=CARTESIAN_POINT('',(2.318994281064E1,-2.022689945065E1,2.417885887917E1)); #444=CARTESIAN_POINT('',(2.318237428131E1,-2.125339499133E1,2.388008253659E1)); #445=CARTESIAN_POINT('',(2.319634124244E1,-2.288384143997E1,2.340481699276E1)); #446=CARTESIAN_POINT('',(2.322253456370E1,-2.447512248209E1,2.294069995662E1)); #447=CARTESIAN_POINT('',(2.324730799919E1,-2.602594949333E1,2.248850039744E1)); #448=CARTESIAN_POINT('',(2.326001423279E1,-2.753215382059E1,2.204981014994E1)); #449=CARTESIAN_POINT('',(2.324942175945E1,-2.916030496423E1,2.157788764861E1)); #450=CARTESIAN_POINT('',(2.318994849153E1,-3.097493368904E1,2.105711125415E1)); #451=CARTESIAN_POINT('',(2.304342762367E1,-3.294839980071E1,2.049994322569E1)); #452=CARTESIAN_POINT('',(2.275392128547E1,-3.510077983857E1,1.990572260193E1)); #453=CARTESIAN_POINT('',(2.239917013066E1,-3.669301535642E1,1.947963358681E1)); #454=CARTESIAN_POINT('',(2.215968009032E1,-3.752158178150E1,1.926203664668E1)); #456=CARTESIAN_POINT('',(2.201412267905E1,-3.710315868105E1,1.782895452378E1)); #457=DIRECTION('',(-2.666703627108E-1,-9.321099759116E-1,-2.450671549960E-1)); #458=DIRECTION('',(9.534972795960E-1,-2.922099222677E-1,7.386676608206E-2)); #459=AXIS2_PLACEMENT_3D('',#456,#457,#458); #461=CARTESIAN_POINT('',(2.344438996772E1,-3.754148657870E1,1.793977977577E1)); #462=CARTESIAN_POINT('',(2.323384005078E1,-3.827385538036E1,1.776040227289E1)); #463=CARTESIAN_POINT('',(2.273570410235E1,-3.973907479016E1,1.740699341564E1)); #464=CARTESIAN_POINT('',(2.169911133544E1,-4.198793475460E1,1.687573436252E1)); #465=CARTESIAN_POINT('',(2.049360041178E1,-4.401712593518E1,1.639643759231E1)); #466=CARTESIAN_POINT('',(1.917734576269E1,-4.582236031921E1,1.595996896655E1)); #467=CARTESIAN_POINT('',(1.767374608847E1,-4.753425540687E1,1.552966341722E1)); #468=CARTESIAN_POINT('',(1.588193052341E1,-4.919764276227E1,1.508676867581E1)); #469=CARTESIAN_POINT('',(1.407350164903E1,-5.056931952395E1,1.469643585032E1)); #470=CARTESIAN_POINT('',(1.221690967753E1,-5.173986217182E1,1.434296115575E1)); #471=CARTESIAN_POINT('',(1.014767172616E1,-5.279689344321E1,1.400474738556E1)); #472=CARTESIAN_POINT('',(7.924132310421E0,-5.367375566845E1,1.370810767851E1)); #473=CARTESIAN_POINT('',(5.644579389503E0,-5.431762691326E1,1.347969432331E1)); #474=CARTESIAN_POINT('',(3.590584343707E0,-5.471240134159E1,1.333511735307E1)); #475=CARTESIAN_POINT('',(1.131775796374E0,-5.496182782459E1,1.324195051232E1)); #476=CARTESIAN_POINT('',(-1.398964312872E0,-5.494711218875E1,1.324750004519E1)); #477=CARTESIAN_POINT('',(-3.822762146003E0,-5.467586000827E1,1.334863911798E1)); #478=CARTESIAN_POINT('',(-5.843981625444E0,-5.426906223641E1,1.349720075681E1)); #479=CARTESIAN_POINT('',(-8.089601686053E0,-5.361586706380E1,1.372811601003E1)); #480=CARTESIAN_POINT('',(-1.026976478525E1,-5.274013850296E1,1.402333430734E1)); #481=CARTESIAN_POINT('',(-1.230484955149E1,-5.168832577521E1,1.435887977486E1)); #482=CARTESIAN_POINT('',(-1.411946749541E1,-5.053553839731E1,1.470615862456E1)); #483=CARTESIAN_POINT('',(-1.588565100228E1,-4.919272930269E1,1.508795911639E1)); #484=CARTESIAN_POINT('',(-1.766050692257E1,-4.754762334948E1,1.552619439E1)); #485=CARTESIAN_POINT('',(-1.917227195645E1,-4.582952309016E1,1.595824992909E1)); #486=CARTESIAN_POINT('',(-2.049515148348E1,-4.401496839945E1,1.639695502503E1)); #487=CARTESIAN_POINT('',(-2.169907917771E1,-4.198773267944E1,1.687578037848E1)); #488=CARTESIAN_POINT('',(-2.273529903919E1,-3.974010240077E1,1.740675012563E1)); #489=CARTESIAN_POINT('',(-2.323368491558E1,-3.827439508533E1,1.776027010885E1)); #490=CARTESIAN_POINT('',(-2.344439009720E1,-3.754148603939E1,1.793977990457E1)); #492=CARTESIAN_POINT('',(-2.649999969580E1,-1.935491304524E1,0.E0)); #493=CARTESIAN_POINT('',(-2.649999968027E1,-1.787809414114E1,0.E0)); #494=CARTESIAN_POINT('',(-2.649999984512E1,-1.389304593109E1,0.E0)); #495=CARTESIAN_POINT('',(-2.650000246112E1,-7.404158485375E0,0.E0)); #496=CARTESIAN_POINT('',(-2.649999072329E1,1.199913603734E-1,0.E0)); #497=CARTESIAN_POINT('',(-2.650003466200E1,7.656938545401E0,0.E0)); #498=CARTESIAN_POINT('',(-2.649989790851E1,1.395649754153E1,0.E0)); #499=CARTESIAN_POINT('',(-2.650017648519E1,1.901221105630E1,0.E0)); #500=CARTESIAN_POINT('',(-2.649932879781E1,2.281325321693E1,0.E0)); #501=CARTESIAN_POINT('',(-2.650197283377E1,2.598945101699E1,0.E0)); #502=CARTESIAN_POINT('',(-2.649656317753E1,2.853633529955E1,0.E0)); #503=CARTESIAN_POINT('',(-2.651509400352E1,3.066606496663E1,0.E0)); #504=CARTESIAN_POINT('',(-2.642178045863E1,3.301866450125E1,0.E0)); #505=CARTESIAN_POINT('',(-2.591553466878E1,3.642426808827E1,0.E0)); #506=CARTESIAN_POINT('',(-2.467337918830E1,4.057752974240E1,0.E0)); #507=CARTESIAN_POINT('',(-2.222421344131E1,4.519476808005E1,0.E0)); #508=CARTESIAN_POINT('',(-1.780968569783E1,5.061338551660E1,0.E0)); #509=CARTESIAN_POINT('',(-1.042745390538E1,5.556785488198E1,0.E0)); #510=CARTESIAN_POINT('',(0.E0,5.764107255901E1,0.E0)); #511=CARTESIAN_POINT('',(1.042745390538E1,5.556785488198E1,0.E0)); #512=CARTESIAN_POINT('',(1.780968569783E1,5.061338551660E1,0.E0)); #513=CARTESIAN_POINT('',(2.222421344131E1,4.519476808005E1,0.E0)); #514=CARTESIAN_POINT('',(2.467337918830E1,4.057752974240E1,0.E0)); #515=CARTESIAN_POINT('',(2.591553466878E1,3.642426808827E1,0.E0)); #516=CARTESIAN_POINT('',(2.642178045863E1,3.301866450125E1,0.E0)); #517=CARTESIAN_POINT('',(2.651509400352E1,3.066606496663E1,0.E0)); #518=CARTESIAN_POINT('',(2.649656317753E1,2.853633529955E1,0.E0)); #519=CARTESIAN_POINT('',(2.650197283377E1,2.598945101700E1,0.E0)); #520=CARTESIAN_POINT('',(2.649932879781E1,2.281325321687E1,0.E0)); #521=CARTESIAN_POINT('',(2.650017648519E1,1.901221105653E1,0.E0)); #522=CARTESIAN_POINT('',(2.649989790851E1,1.395649754031E1,0.E0)); #523=CARTESIAN_POINT('',(2.650003466200E1,7.656938555162E0,0.E0)); #524=CARTESIAN_POINT('',(2.649999072329E1,1.199913247369E-1,0.E0)); #525=CARTESIAN_POINT('',(2.650000246112E1,-7.404158352589E0,0.E0)); #526=CARTESIAN_POINT('',(2.649999984512E1,-1.389304634287E1,0.E0)); #527=CARTESIAN_POINT('',(2.649999968027E1,-1.787809363788E1,0.E0)); #528=CARTESIAN_POINT('',(2.649999969580E1,-1.935491254447E1,0.E0)); #530=CARTESIAN_POINT('',(-2.540229942362E1,-3.754375945962E1,0.E0)); #531=CARTESIAN_POINT('',(-2.713930217429E1,-3.172366419857E1,0.E0)); #532=CARTESIAN_POINT('',(-2.649981700958E1,-2.542858543866E1,0.E0)); #533=CARTESIAN_POINT('',(-2.649999969580E1,-1.935491304524E1,0.E0)); #535=CARTESIAN_POINT('',(2.540221120586E1,-3.754411563640E1,0.E0)); #536=CARTESIAN_POINT('',(2.414792301234E1,-4.174691856817E1,0.E0)); #537=CARTESIAN_POINT('',(2.000999359912E1,-4.870831864113E1,0.E0)); #538=CARTESIAN_POINT('',(1.040398143552E1,-5.511955141067E1,0.E0)); #539=CARTESIAN_POINT('',(2.069117997383E-6,-5.719023153284E1,0.E0)); #540=CARTESIAN_POINT('',(-1.040398971199E1,-5.511952245796E1,0.E0)); #541=CARTESIAN_POINT('',(-2.000996134343E1,-4.870844723221E1,0.E0)); #542=CARTESIAN_POINT('',(-2.414801123010E1,-4.174656239139E1,0.E0)); #543=CARTESIAN_POINT('',(-2.540229942362E1,-3.754375945962E1,0.E0)); #545=CARTESIAN_POINT('',(2.649999969580E1,-1.935491254447E1,0.E0)); #546=CARTESIAN_POINT('',(2.649981701010E1,-2.542858493559E1,0.E0)); #547=CARTESIAN_POINT('',(2.713921395582E1,-3.172402037772E1,0.E0)); #548=CARTESIAN_POINT('',(2.540221120586E1,-3.754411563640E1,0.E0)); #550=CARTESIAN_POINT('',(-2.452930730615E1,-1.935737001697E1,2.297823633081E1)); #551=CARTESIAN_POINT('',(-2.453571125605E1,-1.899111431516E1,2.308272773199E1)); #552=CARTESIAN_POINT('',(-2.454822252401E1,-1.825529148960E1,2.329110856579E1)); #553=CARTESIAN_POINT('',(-2.456613650317E1,-1.713407903393E1,2.360486596146E1)); #554=CARTESIAN_POINT('',(-2.458176246359E1,-1.602301931759E1,2.392806627176E1)); #555=CARTESIAN_POINT('',(-2.459455345391E1,-1.491188613370E1,2.427688261900E1)); #556=CARTESIAN_POINT('',(-2.460386524504E1,-1.379816399261E1,2.466447155409E1)); #557=CARTESIAN_POINT('',(-2.460893065013E1,-1.267338058912E1,2.510943928413E1)); #558=CARTESIAN_POINT('',(-2.460942716086E1,-1.157304695513E1,2.560068981835E1)); #559=CARTESIAN_POINT('',(-2.460642083461E1,-1.060170076069E1,2.607730592581E1)); #560=CARTESIAN_POINT('',(-2.459966194670E1,-9.562635257524E0,2.663150326894E1)); #561=CARTESIAN_POINT('',(-2.458780530205E1,-8.443412318898E0,2.728647911753E1)); #562=CARTESIAN_POINT('',(-2.456954733291E1,-7.245710453415E0,2.805882344770E1)); #563=CARTESIAN_POINT('',(-2.454336369553E1,-5.977521213746E0,2.896553934553E1)); #564=CARTESIAN_POINT('',(-2.450708050201E1,-4.631666513228E0,3.003920027254E1)); #565=CARTESIAN_POINT('',(-2.445648777034E1,-3.166771872726E0,3.135394445165E1)); #566=CARTESIAN_POINT('',(-2.438643708937E1,-1.596583189851E0,3.297307905562E1)); #567=CARTESIAN_POINT('',(-2.430131373810E1,-1.074955838214E-1, 3.475927800326E1)); #568=CARTESIAN_POINT('',(-2.420128601688E1,1.279848819634E0,3.670511118474E1)); #569=CARTESIAN_POINT('',(-2.408911844179E1,2.516185500821E0,3.875935651093E1)); #570=CARTESIAN_POINT('',(-2.396686230121E1,3.586655219397E0,4.089640373574E1)); #571=CARTESIAN_POINT('',(-2.384423678154E1,4.433050341827E0,4.296829971637E1)); #572=CARTESIAN_POINT('',(-2.373374585773E1,5.040373614172E0,4.479694968868E1)); #573=CARTESIAN_POINT('',(-2.363160191696E1,5.490585616200E0,4.646844972457E1)); #574=CARTESIAN_POINT('',(-2.353829029069E1,5.814451459983E0,4.798760924236E1)); #575=CARTESIAN_POINT('',(-2.345242566333E1,6.043554113965E0,4.938530474078E1)); #576=CARTESIAN_POINT('',(-2.337312797719E1,6.198308716921E0,5.068046388308E1)); #577=CARTESIAN_POINT('',(-2.330124226994E1,6.291954000850E0,5.186197851514E1)); #578=CARTESIAN_POINT('',(-2.323775927677E1,6.337845273338E0,5.291414067247E1)); #579=CARTESIAN_POINT('',(-2.318128811923E1,6.349603040880E0,5.385906843200E1)); #580=CARTESIAN_POINT('',(-2.312938007318E1,6.334635031979E0,5.473745289399E1)); #581=CARTESIAN_POINT('',(-2.307962800551E1,6.295407023569E0,5.559042767340E1)); #582=CARTESIAN_POINT('',(-2.303028680033E1,6.229161603405E0,5.645030230939E1)); #583=CARTESIAN_POINT('',(-2.298128218925E1,6.137650611681E0,5.731884291472E1)); #584=CARTESIAN_POINT('',(-2.293295702631E1,6.025724470988E0,5.818884783230E1)); #585=CARTESIAN_POINT('',(-2.288806649821E1,5.906282187619E0,5.900767827287E1)); #586=CARTESIAN_POINT('',(-2.284626857399E1,5.786015608786E0,5.977712211124E1)); #587=CARTESIAN_POINT('',(-2.280479546796E1,5.661800344819E0,6.054512259799E1)); #588=CARTESIAN_POINT('',(-2.276104463004E1,5.530967069066E0,6.135660885711E1)); #589=CARTESIAN_POINT('',(-2.271352784296E1,5.392745036032E0,6.223673595958E1)); #590=CARTESIAN_POINT('',(-2.266142366361E1,5.243497828397E0,6.320168207971E1)); #591=CARTESIAN_POINT('',(-2.260430013806E1,5.079176189688E0,6.426176389430E1)); #592=CARTESIAN_POINT('',(-2.254321486274E1,4.901624086342E0,6.539861851682E1)); #593=CARTESIAN_POINT('',(-2.247888614695E1,4.713334418985E0,6.659877798404E1)); #594=CARTESIAN_POINT('',(-2.240984350065E1,4.510326192240E0,6.788972437533E1)); #595=CARTESIAN_POINT('',(-2.233490609957E1,4.289880953411E0,6.929332019201E1)); #596=CARTESIAN_POINT('',(-2.225352727851E1,4.050846597735E0,7.081979088403E1)); #597=CARTESIAN_POINT('',(-2.216507318327E1,3.791086896874E0,7.248165510610E1)); #598=CARTESIAN_POINT('',(-2.206930964517E1,3.509400178345E0,7.428420188180E1)); #599=CARTESIAN_POINT('',(-2.196656103029E1,3.206320889207E0,7.622211074996E1)); #600=CARTESIAN_POINT('',(-2.185703016160E1,2.882542201222E0,7.829169598035E1)); #601=CARTESIAN_POINT('',(-2.173925778773E1,2.533982767633E0,8.052040481330E1)); #602=CARTESIAN_POINT('',(-2.161213445936E1,2.157408441923E0,8.292915698020E1)); #603=CARTESIAN_POINT('',(-2.147275733500E1,1.744227674615E0,8.557283307748E1)); #604=CARTESIAN_POINT('',(-2.132485073108E1,1.305582036387E0,8.837995402855E1)); #605=CARTESIAN_POINT('',(-2.116619327089E1,8.349284805739E-1,9.139209888268E1)); #606=CARTESIAN_POINT('',(-2.098964604655E1,3.108315117528E-1,9.474663528378E1)); #607=CARTESIAN_POINT('',(-2.079610588060E1,-2.644196468348E-1, 9.842919932706E1)); #608=CARTESIAN_POINT('',(-2.059840708643E1,-8.531345297245E-1, 1.021988462532E2)); #609=CARTESIAN_POINT('',(-2.040891061911E1,-1.418771632447E0,1.058218737695E2)); #610=CARTESIAN_POINT('',(-2.023287652217E1,-1.945661002805E0,1.091980642465E2)); #611=CARTESIAN_POINT('',(-2.007588892498E1,-2.416939235905E0,1.122191816899E2)); #612=CARTESIAN_POINT('',(-1.993927332543E1,-2.828258524749E0,1.148566163787E2)); #613=CARTESIAN_POINT('',(-1.981955938541E1,-3.189683529415E0,1.171745099664E2)); #614=CARTESIAN_POINT('',(-1.971346029408E1,-3.510886536479E0,1.192344914889E2)); #615=CARTESIAN_POINT('',(-1.961752277324E1,-3.802013934244E0,1.211019874509E2)); #616=CARTESIAN_POINT('',(-1.953013911268E1,-4.067644078177E0,1.228069061535E2)); #617=CARTESIAN_POINT('',(-1.945033522335E1,-4.310430768156E0,1.243669263859E2)); #618=CARTESIAN_POINT('',(-1.937706842042E1,-4.533748658463E0,1.258023086378E2)); #619=CARTESIAN_POINT('',(-1.930961343569E1,-4.740063576910E0,1.271273747224E2)); #620=CARTESIAN_POINT('',(-1.924612339147E1,-4.935215931842E0,1.283785458238E2)); #621=CARTESIAN_POINT('',(-1.918807053872E1,-5.114674135158E0,1.295265522412E2)); #622=CARTESIAN_POINT('',(-1.913655323840E1,-5.274214881829E0,1.305473445661E2)); #623=CARTESIAN_POINT('',(-1.908949075260E1,-5.419705992971E0,1.314803992185E2)); #624=CARTESIAN_POINT('',(-1.904490298126E1,-5.557049386977E0,1.323642087539E2)); #625=CARTESIAN_POINT('',(-1.900063616543E1,-5.692439953029E0,1.332402984834E2)); #626=CARTESIAN_POINT('',(-1.895552977537E1,-5.829358025116E0,1.341314369087E2)); #627=CARTESIAN_POINT('',(-1.890899845262E1,-5.971433470386E0,1.350537010872E2)); #628=CARTESIAN_POINT('',(-1.886219709219E1,-6.120965238231E0,1.359987316393E2)); #629=CARTESIAN_POINT('',(-1.881889472075E1,-6.264012357656E0,1.368861404789E2)); #630=CARTESIAN_POINT('',(-1.878129144216E1,-6.382186227195E0,1.376427686017E2)); #631=CARTESIAN_POINT('',(-1.874619309418E1,-6.482088423257E0,1.383234395616E2)); #632=CARTESIAN_POINT('',(-1.870887189809E1,-6.572781441976E0,1.390081310852E2)); #633=CARTESIAN_POINT('',(-1.866787237237E1,-6.649668142212E0,1.397020275118E2)); #634=CARTESIAN_POINT('',(-1.862237988256E1,-6.704834000204E0,1.403934226155E2)); #635=CARTESIAN_POINT('',(-1.857227705271E1,-6.736357755006E0,1.410770858324E2)); #636=CARTESIAN_POINT('',(-1.851757665185E1,-6.747118723596E0,1.417587071490E2)); #637=CARTESIAN_POINT('',(-1.845469389411E1,-6.737852985399E0,1.424811320072E2)); #638=CARTESIAN_POINT('',(-1.837790462285E1,-6.702588331211E0,1.432935443318E2)); #639=CARTESIAN_POINT('',(-1.827865305382E1,-6.625942481296E0,1.442499111190E2)); #640=CARTESIAN_POINT('',(-1.815709048468E1,-6.495329354161E0,1.453054074140E2)); #641=CARTESIAN_POINT('',(-1.801774417439E1,-6.308883543879E0,1.463932849271E2)); #642=CARTESIAN_POINT('',(-1.786471987231E1,-6.071150736982E0,1.474715097016E2)); #643=CARTESIAN_POINT('',(-1.770372985359E1,-5.793360417842E0,1.485015010277E2)); #644=CARTESIAN_POINT('',(-1.754352761160E1,-5.495525346969E0,1.494403551078E2)); #645=CARTESIAN_POINT('',(-1.738870265978E1,-5.191953529235E0,1.502803360093E2)); #646=CARTESIAN_POINT('',(-1.724008200554E1,-4.888920234121E0,1.510337121291E2)); #647=CARTESIAN_POINT('',(-1.709816546257E1,-4.590808685490E0,1.517108916114E2)); #648=CARTESIAN_POINT('',(-1.696253010888E1,-4.299188783755E0,1.523240241969E2)); #649=CARTESIAN_POINT('',(-1.683332830692E1,-4.016067181087E0,1.528799452110E2)); #650=CARTESIAN_POINT('',(-1.671577714114E1,-3.754465369108E0,1.533640119430E2)); #651=CARTESIAN_POINT('',(-1.660730016986E1,-3.509970969718E0,1.537939322170E2)); #652=CARTESIAN_POINT('',(-1.650154956047E1,-3.269004861647E0,1.541986070199E2)); #653=CARTESIAN_POINT('',(-1.639262935073E1,-3.018066441036E0,1.546008242738E2)); #654=CARTESIAN_POINT('',(-1.627947573974E1,-2.755027712494E0,1.550044940465E2)); #655=CARTESIAN_POINT('',(-1.616404639620E1,-2.485681668531E0,1.554047848103E2)); #656=CARTESIAN_POINT('',(-1.604693929776E1,-2.212538575829E0,1.558017391430E2)); #657=CARTESIAN_POINT('',(-1.592828489305E1,-1.937103370459E0,1.561972421657E2)); #658=CARTESIAN_POINT('',(-1.580812056695E1,-1.660063675182E0,1.565918811006E2)); #659=CARTESIAN_POINT('',(-1.568674239960E1,-1.382933392062E0,1.569866087843E2)); #660=CARTESIAN_POINT('',(-1.556512772229E1,-1.107011826380E0,1.573804703505E2)); #661=CARTESIAN_POINT('',(-1.544415680351E1,-8.330788097150E-1, 1.577744735273E2)); #662=CARTESIAN_POINT('',(-1.532037785337E1,-5.505205912779E-1, 1.581685843842E2)); #663=CARTESIAN_POINT('',(-1.519099720262E1,-2.596825945911E-1, 1.585626102318E2)); #664=CARTESIAN_POINT('',(-1.505673673416E1,3.090273784331E-2,1.589469623970E2)); #665=CARTESIAN_POINT('',(-1.490946060715E1,3.332388674613E-1,1.593431866131E2)); #666=CARTESIAN_POINT('',(-1.472200005705E1,6.938096028821E-1,1.598072481422E2)); #667=CARTESIAN_POINT('',(-1.448323716270E1,1.107714386747E0,1.603362189682E2)); #668=CARTESIAN_POINT('',(-1.422246281093E1,1.512128642073E0,1.608632350981E2)); #669=CARTESIAN_POINT('',(-1.395281505620E1,1.894487885002E0,1.613655354460E2)); #670=CARTESIAN_POINT('',(-1.366470005932E1,2.271723517737E0,1.618608256870E2)); #671=CARTESIAN_POINT('',(-1.334779228165E1,2.655065252214E0,1.623633696266E2)); #672=CARTESIAN_POINT('',(-1.298978231037E1,3.054684494218E0,1.628855714024E2)); #673=CARTESIAN_POINT('',(-1.257457673464E1,3.480484897917E0,1.634391432541E2)); #674=CARTESIAN_POINT('',(-1.208410857034E1,3.939033884785E0,1.640326001078E2)); #675=CARTESIAN_POINT('',(-1.149884554267E1,4.433551197204E0,1.646690555753E2)); #676=CARTESIAN_POINT('',(-1.079622308798E1,4.963785371224E0,1.653471214191E2)); #677=CARTESIAN_POINT('',(-9.949067436118E0,5.525711737327E0,1.660618750901E2)); #678=CARTESIAN_POINT('',(-8.928027380444E0,6.107710921726E0,1.667990791842E2)); #679=CARTESIAN_POINT('',(-7.715234765942E0,6.684175419063E0,1.675298865896E2)); #680=CARTESIAN_POINT('',(-6.267900931910E0,7.233880675162E0,1.682300826371E2)); #681=CARTESIAN_POINT('',(-4.546470223213E0,7.720206144456E0,1.688529643610E2)); #682=CARTESIAN_POINT('',(-2.431355813055E0,8.096853363741E0,1.693418591635E2)); #683=CARTESIAN_POINT('',(6.967991137842E-2,8.248344564082E0,1.695383587835E2)); #684=CARTESIAN_POINT('',(2.555994075708E0,8.080916581283E0,1.693210912532E2)); #685=CARTESIAN_POINT('',(4.644907071054E0,7.696892692880E0,1.688229420595E2)); #686=CARTESIAN_POINT('',(6.350021395462E0,7.206315639912E0,1.681948855794E2)); #687=CARTESIAN_POINT('',(7.783189083363E0,6.654825062816E0,1.674926064471E2)); #688=CARTESIAN_POINT('',(8.985717928631E0,6.077349617974E0,1.667606511292E2)); #689=CARTESIAN_POINT('',(9.996924345238E0,5.495973926451E0,1.660241330955E2)); #690=CARTESIAN_POINT('',(1.083530107793E1,4.935913262380E0,1.653115776022E2)); #691=CARTESIAN_POINT('',(1.153117187102E1,4.407570974575E0,1.646357181414E2)); #692=CARTESIAN_POINT('',(1.211101696219E1,3.914990242938E0,1.640015563468E2)); #693=CARTESIAN_POINT('',(1.259729687047E1,3.458139640113E0,1.634101593E2)); #694=CARTESIAN_POINT('',(1.300941778740E1,3.033604867817E0,1.628580865675E2)); #695=CARTESIAN_POINT('',(1.336550203033E1,2.634445744008E0,1.623363741564E2)); #696=CARTESIAN_POINT('',(1.368149701400E1,2.250568076899E0,1.618330673929E2)); #697=CARTESIAN_POINT('',(1.396955706803E1,1.871676288959E0,1.613355577623E2)); #698=CARTESIAN_POINT('',(1.423983767587E1,1.486419894054E0,1.608295649396E2)); #699=CARTESIAN_POINT('',(1.450080514626E1,1.078928981541E0,1.602990040567E2)); #700=CARTESIAN_POINT('',(1.473648166863E1,6.669708398847E-1,1.597731399678E2)); #701=CARTESIAN_POINT('',(1.491967238294E1,3.127710184652E-1,1.593164763213E2)); #702=CARTESIAN_POINT('',(1.506490591232E1,1.362680066740E-2,1.589242102398E2)); #703=CARTESIAN_POINT('',(1.519867569186E1,-2.767138023385E-1,1.585398768505E2)); #704=CARTESIAN_POINT('',(1.532771428359E1,-5.672085344646E-1,1.581456276344E2)); #705=CARTESIAN_POINT('',(1.545105417270E1,-8.487917383130E-1,1.577521035061E2)); #706=CARTESIAN_POINT('',(1.557189305378E1,-1.122315250886E0,1.573585288938E2)); #707=CARTESIAN_POINT('',(1.569353215656E1,-1.398379416576E0,1.569645800840E2)); #708=CARTESIAN_POINT('',(1.581495467805E1,-1.675754600268E0,1.565695933980E2)); #709=CARTESIAN_POINT('',(1.593512765396E1,-1.952939403406E0,1.561745871461E2)); #710=CARTESIAN_POINT('',(1.605374049362E1,-2.228378460792E0,1.557788933369E2)); #711=CARTESIAN_POINT('',(1.617066467820E1,-2.501131835584E0,1.553820946393E2)); #712=CARTESIAN_POINT('',(1.628590225343E1,-2.770005677963E0,1.549818944517E2)); #713=CARTESIAN_POINT('',(1.639877838712E1,-3.032301760432E0,1.545784914405E2)); #714=CARTESIAN_POINT('',(1.650723850981E1,-3.282029852246E0,1.541771792033E2)); #715=CARTESIAN_POINT('',(1.661267141596E1,-3.522136538202E0,1.537729750776E2)); #716=CARTESIAN_POINT('',(1.672036430172E1,-3.764724216841E0,1.533454027206E2)); #717=CARTESIAN_POINT('',(1.683611012802E1,-4.022178191484E0,1.528680620251E2)); #718=CARTESIAN_POINT('',(1.696116676663E1,-4.296152019918E0,1.523296350158E2)); #719=CARTESIAN_POINT('',(1.708965604778E1,-4.572567609321E0,1.517496497662E2)); #720=CARTESIAN_POINT('',(1.722219796534E1,-4.851610293372E0,1.511203319005E2)); #721=CARTESIAN_POINT('',(1.735993359495E1,-5.133865511104E0,1.504288532064E2)); #722=CARTESIAN_POINT('',(1.750297583586E1,-5.417042095871E0,1.496649023263E2)); #723=CARTESIAN_POINT('',(1.765141812849E1,-5.697786860965E0,1.488150405066E2)); #724=CARTESIAN_POINT('',(1.780324888334E1,-5.967553010805E0,1.478744210384E2)); #725=CARTESIAN_POINT('',(1.795242259203E1,-6.210615647591E0,1.468652666133E2)); #726=CARTESIAN_POINT('',(1.809349974853E1,-6.414163694270E0,1.458152763898E2)); #727=CARTESIAN_POINT('',(1.822320225510E1,-6.570826191985E0,1.447458095016E2)); #728=CARTESIAN_POINT('',(1.833565312554E1,-6.674030521556E0,1.437131884512E2)); #729=CARTESIAN_POINT('',(1.842310190987E1,-6.726249234563E0,1.428239542741E2)); #730=CARTESIAN_POINT('',(1.849099268287E1,-6.745615173164E0,1.420710380682E2)); #731=CARTESIAN_POINT('',(1.854829268303E1,-6.743521260119E0,1.413827630733E2)); #732=CARTESIAN_POINT('',(1.859994871189E1,-6.722081592645E0,1.407079568424E2)); #733=CARTESIAN_POINT('',(1.864779215524E1,-6.677801027361E0,1.400171493506E2)); #734=CARTESIAN_POINT('',(1.869070915549E1,-6.609950749189E0,1.393235526114E2)); #735=CARTESIAN_POINT('',(1.872960960250E1,-6.524663051560E0,1.386334531882E2)); #736=CARTESIAN_POINT('',(1.876526689328E1,-6.429210594633E0,1.379570484520E2)); #737=CARTESIAN_POINT('',(1.880116400248E1,-6.320987415784E0,1.372459393831E2)); #738=CARTESIAN_POINT('',(1.884177782105E1,-6.188539525450E0,1.364172972455E2)); #739=CARTESIAN_POINT('',(1.888750693675E1,-6.038835220267E0,1.354843831825E2)); #740=CARTESIAN_POINT('',(1.893464461727E1,-5.892867555666E0,1.345446332248E2)); #741=CARTESIAN_POINT('',(1.898032657193E1,-5.754082601760E0,1.336414138160E2)); #742=CARTESIAN_POINT('',(1.902500944856E1,-5.618095779419E0,1.327582920375E2)); #743=CARTESIAN_POINT('',(1.906919013060E1,-5.482287974346E0,1.318827938621E2)); #744=CARTESIAN_POINT('',(1.911472285818E1,-5.341759232442E0,1.309801527811E2)); #745=CARTESIAN_POINT('',(1.916338014867E1,-5.191136123811E0,1.300156272621E2)); #746=CARTESIAN_POINT('',(1.921716796336E1,-5.024643314041E0,1.289507620052E2)); #747=CARTESIAN_POINT('',(1.927704921950E1,-4.840013465199E0,1.277685648921E2)); #748=CARTESIAN_POINT('',(1.933990351539E1,-4.647335613131E0,1.265319638964E2)); #749=CARTESIAN_POINT('',(1.940696515751E1,-4.442553132187E0,1.252162092598E2)); #750=CARTESIAN_POINT('',(1.947902811640E1,-4.223117340669E0,1.238057407380E2)); #751=CARTESIAN_POINT('',(1.955691262493E1,-3.986227104556E0,1.222842135491E2)); #752=CARTESIAN_POINT('',(1.964148162324E1,-3.729265533035E0,1.206352670249E2)); #753=CARTESIAN_POINT('',(1.973363345196E1,-3.449763191945E0,1.188424899219E2)); #754=CARTESIAN_POINT('',(1.983398857471E1,-3.146095346753E0,1.168949533666E2)); #755=CARTESIAN_POINT('',(1.994276849064E1,-2.817760138563E0,1.147893041222E2)); #756=CARTESIAN_POINT('',(2.006028162852E1,-2.463931966196E0,1.125205067427E2)); #757=CARTESIAN_POINT('',(2.018678475336E1,-2.083966827E0,1.100846179353E2)); #758=CARTESIAN_POINT('',(2.032330269004E1,-1.674905869498E0,1.074630144687E2)); #759=CARTESIAN_POINT('',(2.047227873009E1,-1.229533673545E0,1.046096813442E2)); #760=CARTESIAN_POINT('',(2.063602897745E1,-7.410564908598E-1,1.014811356658E2)); #761=CARTESIAN_POINT('',(2.081470672275E1,-2.091167099284E-1,9.807514077640E1)); #762=CARTESIAN_POINT('',(2.100226305588E1,3.483090728601E-1,9.450671871277E1)); #763=CARTESIAN_POINT('',(2.119345676341E1,9.158389114058E-1,9.087423731087E1)); #764=CARTESIAN_POINT('',(2.137975808562E1,1.468433154219E0,8.733773009145E1)); #765=CARTESIAN_POINT('',(2.155094100143E1,1.976049698369E0,8.408943309268E1)); #766=CARTESIAN_POINT('',(2.170022640291E1,2.418408264077E0,8.125955348910E1)); #767=CARTESIAN_POINT('',(2.183257625509E1,2.810210102479E0,7.875409588442E1)); #768=CARTESIAN_POINT('',(2.195160864288E1,3.162172284753E0,7.650434229812E1)); #769=CARTESIAN_POINT('',(2.206101625525E1,3.484982663267E0,7.444041176823E1)); #770=CARTESIAN_POINT('',(2.216143295361E1,3.780401379784E0,7.255006510207E1)); #771=CARTESIAN_POINT('',(2.225262212646E1,4.048185536005E0,7.083676654097E1)); #772=CARTESIAN_POINT('',(2.233526323843E1,4.290930409327E0,6.928661867407E1)); #773=CARTESIAN_POINT('',(2.241052117820E1,4.512320927689E0,6.787704027858E1)); #774=CARTESIAN_POINT('',(2.247947054763E1,4.715051607152E0,6.658786212453E1)); #775=CARTESIAN_POINT('',(2.254376298468E1,4.903230427455E0,6.538839703773E1)); #776=CARTESIAN_POINT('',(2.260522818890E1,5.081868384465E0,6.424450486620E1)); #777=CARTESIAN_POINT('',(2.266286487859E1,5.247629036822E0,6.317496393502E1)); #778=CARTESIAN_POINT('',(2.271528448938E1,5.397790509702E0,6.220421635766E1)); #779=CARTESIAN_POINT('',(2.276292177451E1,5.536502255228E0,6.132181489439E1)); #780=CARTESIAN_POINT('',(2.280665888864E1,5.667419000383E0,6.051056048395E1)); #781=CARTESIAN_POINT('',(2.284807982809E1,5.791352046020E0,5.974367148806E1)); #782=CARTESIAN_POINT('',(2.288989449739E1,5.911369949015E0,5.897416757097E1)); #783=CARTESIAN_POINT('',(2.293492418289E1,6.030650116258E0,5.815318655853E1)); #784=CARTESIAN_POINT('',(2.298334015494E1,6.141968843927E0,5.728208176106E1)); #785=CARTESIAN_POINT('',(2.303235982199E1,6.232490472317E0,5.641387721257E1)); #786=CARTESIAN_POINT('',(2.308171046744E1,6.297587115262E0,5.555446215778E1)); #787=CARTESIAN_POINT('',(2.313153256385E1,6.335771778979E0,5.470081028098E1)); #788=CARTESIAN_POINT('',(2.318361668090E1,6.349682948449E0,5.381990304941E1)); #789=CARTESIAN_POINT('',(2.324032719098E1,6.336648306101E0,5.287139205670E1)); #790=CARTESIAN_POINT('',(2.330408183900E1,6.289071725067E0,5.181513369887E1)); #791=CARTESIAN_POINT('',(2.337608217004E1,6.193444496152E0,5.063209740779E1)); #792=CARTESIAN_POINT('',(2.345515342178E1,6.037113592634E0,4.934086415668E1)); #793=CARTESIAN_POINT('',(2.354035614119E1,5.807861057059E0,4.795400713288E1)); #794=CARTESIAN_POINT('',(2.363228528220E1,5.487243282158E0,4.645724240807E1)); #795=CARTESIAN_POINT('',(2.373181306229E1,5.048982392871E0,4.482858722660E1)); #796=CARTESIAN_POINT('',(2.383869051276E1,4.464530598622E0,4.306026122578E1)); #797=CARTESIAN_POINT('',(2.395409053812E1,3.680437649037E0,4.111374614039E1)); #798=CARTESIAN_POINT('',(2.407057812617E1,2.691219241033E0,3.908785953303E1)); #799=CARTESIAN_POINT('',(2.418049776600E1,1.528309305561E0,3.709330649282E1)); #800=CARTESIAN_POINT('',(2.428121494418E1,1.967441253997E-1,3.516082901145E1)); #801=CARTESIAN_POINT('',(2.436961639114E1,-1.271357279995E0,3.333935267467E1)); #802=CARTESIAN_POINT('',(2.444490008972E1,-2.872112350825E0,3.163703901324E1)); #803=CARTESIAN_POINT('',(2.450118048247E1,-4.434522658915E0,3.020416127789E1)); #804=CARTESIAN_POINT('',(2.454035181851E1,-5.847411591323E0,2.906282658687E1)); #805=CARTESIAN_POINT('',(2.456823588998E1,-7.168467656265E0,2.811035707694E1)); #806=CARTESIAN_POINT('',(2.458727494436E1,-8.399657241743E0,2.731293915939E1)); #807=CARTESIAN_POINT('',(2.459946252882E1,-9.537369832198E0,2.664542132452E1)); #808=CARTESIAN_POINT('',(2.460634266060E1,-1.058463748579E1,2.608599361362E1)); #809=CARTESIAN_POINT('',(2.460940380292E1,-1.155595713974E1,2.560870785070E1)); #810=CARTESIAN_POINT('',(2.460897126301E1,-1.265833053157E1,2.511573707104E1)); #811=CARTESIAN_POINT('',(2.460394931856E1,-1.378571316683E1,2.466904088234E1)); #812=CARTESIAN_POINT('',(2.459466129046E1,-1.490131385680E1,2.428034398388E1)); #813=CARTESIAN_POINT('',(2.458187162358E1,-1.601473554227E1,2.393053192360E1)); #814=CARTESIAN_POINT('',(2.456622089E1,-1.712859332451E1,2.360641969067E1)); #815=CARTESIAN_POINT('',(2.454826197612E1,-1.825306364330E1,2.329171002504E1)); #816=CARTESIAN_POINT('',(2.453572307002E1,-1.899043079087E1,2.308292480319E1)); #817=CARTESIAN_POINT('',(2.452930730516E1,-1.935736986546E1,2.297823637441E1)); #819=CARTESIAN_POINT('',(2.540221120586E1,-3.754411563640E1,0.E0)); #820=CARTESIAN_POINT('',(2.458596757046E1,-3.754411545496E1,5.641078476327E0)); #821=CARTESIAN_POINT('',(2.393407333262E1,-3.754148663255E1,1.162354617453E1)); #822=CARTESIAN_POINT('',(2.344438996772E1,-3.754148657870E1,1.793977977577E1)); #824=CARTESIAN_POINT('',(2.452930730516E1,-1.935736986546E1,2.297823637441E1)); #825=CARTESIAN_POINT('',(2.452087738337E1,-1.985070243875E1,2.283408269145E1)); #826=CARTESIAN_POINT('',(2.451454898340E1,-2.085729012762E1,2.253721077778E1)); #827=CARTESIAN_POINT('',(2.452955629983E1,-2.247349645918E1,2.206311511395E1)); #828=CARTESIAN_POINT('',(2.455657976882E1,-2.406005079795E1,2.160099319291E1)); #829=CARTESIAN_POINT('',(2.458219203665E1,-2.561716575163E1,2.115082041625E1)); #830=CARTESIAN_POINT('',(2.459568117442E1,-2.713986462725E1,2.071423231100E1)); #831=CARTESIAN_POINT('',(2.458553273347E1,-2.879838019447E1,2.024451297941E1)); #832=CARTESIAN_POINT('',(2.452516019056E1,-3.066321263739E1,1.972617504615E1)); #833=CARTESIAN_POINT('',(2.437408953367E1,-3.271131171449E1,1.917174476104E1)); #834=CARTESIAN_POINT('',(2.407233962462E1,-3.496876085877E1,1.858064540144E1)); #835=CARTESIAN_POINT('',(2.369810379794E1,-3.665880081040E1,1.815631973088E1)); #836=CARTESIAN_POINT('',(2.344438996772E1,-3.754148657870E1,1.793977977577E1)); #838=CARTESIAN_POINT('',(2.649999969580E1,-1.935491254447E1,0.E0)); #839=CARTESIAN_POINT('',(2.560467544337E1,-1.935491253172E1,7.535447277624E0)); #840=CARTESIAN_POINT('',(2.497448558831E1,-1.935736987033E1,1.523223505521E1)); #841=CARTESIAN_POINT('',(2.452930730516E1,-1.935736986546E1,2.297823637441E1)); #843=CARTESIAN_POINT('',(2.303264516424E1,-1.930666274547E1,2.289224953241E1)); #844=DIRECTION('',(-1.668039096241E-2,-9.609392054858E-1,-2.762564169710E-1)); #845=DIRECTION('',(9.977825302652E-1,-3.380310904182E-2,5.733560949998E-2)); #846=AXIS2_PLACEMENT_3D('',#843,#844,#845); #848=CARTESIAN_POINT('',(-2.303261962314E1,-1.930666255529E1,2.289225400374E1)); #849=DIRECTION('',(-1.668087289100E-2,9.609389255599E-1,2.762573615732E-1)); #850=DIRECTION('',(-9.977840177845E-1,-3.379725097875E-2,5.731317195998E-2)); #851=AXIS2_PLACEMENT_3D('',#848,#849,#850); #853=CARTESIAN_POINT('',(-2.201416839130E1,-3.710316782096E1,1.782894215829E1)); #854=DIRECTION('',(-2.666715846915E-1,9.321099614053E-1,2.450658804633E-1)); #855=DIRECTION('',(-9.534909518232E-1,-2.922201254180E-1,7.390807190028E-2)); #856=AXIS2_PLACEMENT_3D('',#853,#854,#855); #858=CARTESIAN_POINT('',(-2.649999969580E1,-1.935491304524E1,0.E0)); #859=CARTESIAN_POINT('',(-2.560467544342E1,-1.935491303250E1,7.535447278357E0)); #860=CARTESIAN_POINT('',(-2.497448558928E1,-1.935737002184E1,1.523223501107E1)); #861=CARTESIAN_POINT('',(-2.452930730615E1,-1.935737001697E1,2.297823633081E1)); #863=CARTESIAN_POINT('',(-2.344439009720E1,-3.754148603939E1,1.793977990457E1)); #864=CARTESIAN_POINT('',(-2.370154222888E1,-3.664683743086E1,1.815925465574E1)); #865=CARTESIAN_POINT('',(-2.407924531973E1,-3.493433695094E1,1.858935819337E1)); #866=CARTESIAN_POINT('',(-2.438104312466E1,-3.264739178496E1,1.918870072117E1)); #867=CARTESIAN_POINT('',(-2.452969340878E1,-3.057749977692E1,1.974967214979E1)); #868=CARTESIAN_POINT('',(-2.458715868990E1,-2.870281686972E1,2.027135927736E1)); #869=CARTESIAN_POINT('',(-2.459535604206E1,-2.705202492412E1,2.073930224898E1)); #870=CARTESIAN_POINT('',(-2.458110532913E1,-2.554192140260E1,2.117250738307E1)); #871=CARTESIAN_POINT('',(-2.455551053750E1,-2.400090704590E1,2.161817547462E1)); #872=CARTESIAN_POINT('',(-2.452904557838E1,-2.243451563984E1,2.207452090651E1)); #873=CARTESIAN_POINT('',(-2.451459857837E1,-2.083865530899E1,2.254271715305E1)); #874=CARTESIAN_POINT('',(-2.452098168918E1,-1.984459868055E1,2.283586616415E1)); #875=CARTESIAN_POINT('',(-2.452930730615E1,-1.935737001697E1,2.297823633081E1)); #877=CARTESIAN_POINT('',(-2.540229942362E1,-3.754375945962E1,0.E0)); #878=CARTESIAN_POINT('',(-2.458605578821E1,-3.754375927819E1,5.641078476332E0)); #879=CARTESIAN_POINT('',(-2.393407346209E1,-3.754148609324E1,1.162354630333E1)); #880=CARTESIAN_POINT('',(-2.344439009720E1,-3.754148603939E1,1.793977990457E1)); #882=CARTESIAN_POINT('',(0.E0,-2.987752234709E1,2.204290717655E1)); #883=DIRECTION('',(0.E0,-2.588190451025E-1,9.659258262891E-1)); #884=DIRECTION('',(0.E0,9.659258262891E-1,2.588190451025E-1)); #885=AXIS2_PLACEMENT_3D('',#882,#883,#884); #887=CARTESIAN_POINT('',(0.E0,-2.226224631992E1,2.491830690125E1)); #888=CARTESIAN_POINT('',(-5.475213110341E-1,-2.226224631992E1, 2.491830690125E1)); #889=CARTESIAN_POINT('',(-1.648322467273E0,-2.236748726422E1,2.488257070677E1)); #890=CARTESIAN_POINT('',(-3.257925834310E0,-2.285097326200E1,2.471946096347E1)); #891=CARTESIAN_POINT('',(-4.721079759059E0,-2.363875855518E1,2.445709678303E1)); #892=CARTESIAN_POINT('',(-5.949929889643E0,-2.466344001385E1,2.412174397178E1)); #893=CARTESIAN_POINT('',(-6.936518399184E0,-2.588914765356E1,2.372854712131E1)); #894=CARTESIAN_POINT('',(-7.692132190463E0,-2.736269800604E1,2.326591243510E1)); #895=CARTESIAN_POINT('',(-8.133127230955E0,-2.914951156922E1,2.272036800453E1)); #896=CARTESIAN_POINT('',(-8.101364914661E0,-3.105408215516E1,2.215875684131E1)); #897=CARTESIAN_POINT('',(-7.625490100917E0,-3.282472823886E1,2.165436023657E1)); #898=CARTESIAN_POINT('',(-6.712597085714E0,-3.448142748096E1,2.119599859629E1)); #899=CARTESIAN_POINT('',(-5.461522559526E0,-3.584811021842E1,2.082693600149E1)); #900=CARTESIAN_POINT('',(-3.859172009707E0,-3.694293367637E1,2.053612806340E1)); #901=CARTESIAN_POINT('',(-1.975489942948E0,-3.764460586505E1,2.035130375224E1)); #902=CARTESIAN_POINT('',(-6.583261283302E-1,-3.779911990342E1, 2.031071496213E1)); #903=CARTESIAN_POINT('',(0.E0,-3.779911990342E1,2.031071496213E1)); #905=CARTESIAN_POINT('',(0.E0,-3.E1,2.25E1)); #906=DIRECTION('',(0.E0,-2.588190451025E-1,9.659258262891E-1)); #907=DIRECTION('',(0.E0,9.659258262891E-1,2.588190451025E-1)); #908=AXIS2_PLACEMENT_3D('',#905,#906,#907); #910=CARTESIAN_POINT('',(0.E0,-3.674414319549E1,1.965763609672E1)); #911=DIRECTION('',(-1.E0,0.E0,0.E0)); #912=DIRECTION('',(0.E0,-9.573783445379E-1,2.888368144988E-1)); #913=AXIS2_PLACEMENT_3D('',#910,#911,#912); #915=CARTESIAN_POINT('',(0.E0,-3.E1,2.25E1)); #916=DIRECTION('',(0.E0,2.588190451025E-1,-9.659258262891E-1)); #917=DIRECTION('',(0.E0,9.659258262891E-1,2.588190451025E-1)); #918=AXIS2_PLACEMENT_3D('',#915,#916,#917); #920=CARTESIAN_POINT('',(0.E0,-2.273821871430E1,2.341051225070E1)); #921=DIRECTION('',(1.E0,0.E0,0.E0)); #922=DIRECTION('',(0.E0,6.846955601535E-1,7.288291911732E-1)); #923=AXIS2_PLACEMENT_3D('',#920,#921,#922); #925=CARTESIAN_POINT('',(0.E0,-2.987752234709E1,2.204290717655E1)); #926=DIRECTION('',(0.E0,-2.588190451025E-1,9.659258262891E-1)); #927=DIRECTION('',(0.E0,-9.659258262891E-1,-2.588190451025E-1)); #928=AXIS2_PLACEMENT_3D('',#925,#926,#927); #930=DIRECTION('',(0.E0,-2.588190451025E-1,9.659258262891E-1)); #931=VECTOR('',#930,8.064443854484E-1); #932=CARTESIAN_POINT('',(0.E0,-2.205352315415E1,2.413934144188E1)); #933=LINE('',#932,#931); #934=CARTESIAN_POINT('',(0.E0,-3.779911990342E1,2.031071496213E1)); #935=CARTESIAN_POINT('',(5.360647453611E-1,-3.779911990342E1,2.031071496213E1)); #936=CARTESIAN_POINT('',(1.625640740193E0,-3.769765578603E1,2.033737251570E1)); #937=CARTESIAN_POINT('',(3.278341762376E0,-3.719427470951E1,2.046987274301E1)); #938=CARTESIAN_POINT('',(4.755037724686E0,-3.637358552988E1,2.068707559350E1)); #939=CARTESIAN_POINT('',(5.933164017385E0,-3.536557429039E1,2.095682876062E1)); #940=CARTESIAN_POINT('',(6.883082119959E0,-3.420108461802E1,2.127316707712E1)); #941=CARTESIAN_POINT('',(7.683527445775E0,-3.265940224337E1,2.170100241982E1)); #942=CARTESIAN_POINT('',(8.122337358839E0,-3.090325917552E1,2.220246242888E1)); #943=CARTESIAN_POINT('',(8.110055151799E0,-2.900338256686E1,2.276449621044E1)); #944=CARTESIAN_POINT('',(7.670117606238E0,-2.732132915082E1,2.327895151875E1)); #945=CARTESIAN_POINT('',(6.925145962984E0,-2.587228673268E1,2.373389757042E1)); #946=CARTESIAN_POINT('',(5.943352739674E0,-2.465778014031E1,2.412360001241E1)); #947=CARTESIAN_POINT('',(4.717563409749E0,-2.363644385994E1,2.445786005652E1)); #948=CARTESIAN_POINT('',(3.253732689287E0,-2.284916872442E1,2.472006692717E1)); #949=CARTESIAN_POINT('',(1.643588063567E0,-2.236667698833E1,2.488284559701E1)); #950=CARTESIAN_POINT('',(5.455958166379E-1,-2.226224631992E1,2.491830690125E1)); #951=CARTESIAN_POINT('',(0.E0,-2.226224631992E1,2.491830690125E1)); #953=DIRECTION('',(0.E0,-2.588190451026E-1,9.659258262891E-1)); #954=VECTOR('',#953,3.770911192139E-1); #955=CARTESIAN_POINT('',(0.E0,-3.770152154003E1,1.994647291122E1)); #956=LINE('',#955,#954); #957=CARTESIAN_POINT('',(0.E0,-9.671254496617E0,1.485914048322E2)); #958=DIRECTION('',(0.E0,9.659258262891E-1,-2.588190451025E-1)); #959=DIRECTION('',(0.E0,-2.588190451025E-1,-9.659258262891E-1)); #960=AXIS2_PLACEMENT_3D('',#957,#958,#959); #962=CARTESIAN_POINT('',(0.E0,-9.961032244504E0,1.486690505457E2)); #963=DIRECTION('',(0.E0,-9.659258262891E-1,2.588190451025E-1)); #964=DIRECTION('',(0.E0,2.588190451025E-1,9.659258262891E-1)); #965=AXIS2_PLACEMENT_3D('',#962,#963,#964); #967=CARTESIAN_POINT('',(0.E0,-9.671254496617E0,1.485914048322E2)); #968=DIRECTION('',(0.E0,9.659258262891E-1,-2.588190451025E-1)); #969=DIRECTION('',(0.E0,2.588190451025E-1,9.659258262891E-1)); #970=AXIS2_PLACEMENT_3D('',#967,#968,#969); #972=CARTESIAN_POINT('',(0.E0,-1.298921507220E1,1.373677183781E2)); #973=DIRECTION('',(1.E0,0.E0,0.E0)); #974=DIRECTION('',(0.E0,-2.588190451025E-1,-9.659258262891E-1)); #975=AXIS2_PLACEMENT_3D('',#972,#973,#974); #977=CARTESIAN_POINT('',(0.E0,-9.961032244504E0,1.486690505457E2)); #978=DIRECTION('',(0.E0,9.659258262891E-1,-2.588190451025E-1)); #979=DIRECTION('',(0.E0,2.588190451025E-1,9.659258262891E-1)); #980=AXIS2_PLACEMENT_3D('',#977,#978,#979); #982=CARTESIAN_POINT('',(0.E0,-6.932849416805E0,1.599703827133E2)); #983=DIRECTION('',(-1.E0,0.E0,0.E0)); #984=DIRECTION('',(0.E0,2.588190451025E-1,9.659258262891E-1)); #985=AXIS2_PLACEMENT_3D('',#982,#983,#984); #987=DIRECTION('',(0.E0,9.659258262891E-1,-2.588190451025E-1)); #988=VECTOR('',#987,6.4E0); #989=CARTESIAN_POINT('',(0.E0,-1.303712899152E1,1.619166023498E2)); #990=LINE('',#989,#988); #991=DIRECTION('',(0.E0,9.659258262891E-1,-2.588190451025E-1)); #992=VECTOR('',#991,6.4E0); #993=CARTESIAN_POINT('',(0.E0,-1.924878607398E1,1.387343825189E2)); #994=LINE('',#993,#992); #995=CARTESIAN_POINT('',(0.E0,-1.614295753275E1,1.503254924344E2)); #996=DIRECTION('',(0.E0,9.659258262891E-1,-2.588190451025E-1)); #997=DIRECTION('',(0.E0,2.588190451025E-1,9.659258262891E-1)); #998=AXIS2_PLACEMENT_3D('',#995,#996,#997); #1000=CARTESIAN_POINT('',(0.E0,-1.614295753275E1,1.503254924344E2)); #1001=DIRECTION('',(0.E0,-9.659258262891E-1,2.588190451025E-1)); #1002=DIRECTION('',(0.E0,2.588190451025E-1,9.659258262891E-1)); #1003=AXIS2_PLACEMENT_3D('',#1000,#1001,#1002); #1005=CARTESIAN_POINT('',(0.E0,-1.643273528064E1,1.504031381479E2)); #1006=DIRECTION('',(0.E0,-9.659258262891E-1,2.588190451025E-1)); #1007=DIRECTION('',(0.E0,2.588190451025E-1,9.659258262891E-1)); #1008=AXIS2_PLACEMENT_3D('',#1005,#1006,#1007); #1010=CARTESIAN_POINT('',(0.E0,-1.311477470505E1,1.616268246019E2)); #1011=DIRECTION('',(-1.E0,0.E0,0.E0)); #1012=DIRECTION('',(0.E0,-9.659258262891E-1,2.588190451025E-1)); #1013=AXIS2_PLACEMENT_3D('',#1010,#1011,#1012); #1015=CARTESIAN_POINT('',(0.E0,-1.917114036045E1,1.390241602668E2)); #1016=DIRECTION('',(1.E0,0.E0,0.E0)); #1017=DIRECTION('',(0.E0,-9.659258262891E-1,2.588190451025E-1)); #1018=AXIS2_PLACEMENT_3D('',#1015,#1016,#1017); #1020=CARTESIAN_POINT('',(0.E0,-1.643273528064E1,1.504031381479E2)); #1021=DIRECTION('',(0.E0,9.659258262891E-1,-2.588190451025E-1)); #1022=DIRECTION('',(0.E0,2.588190451025E-1,9.659258262891E-1)); #1023=AXIS2_PLACEMENT_3D('',#1020,#1021,#1022); #1025=DIRECTION('',(-3.143275629962E-3,-2.588177665098E-1,-9.659210545160E-1)); #1026=VECTOR('',#1025,1.505532610598E0); #1027=CARTESIAN_POINT('',(-6.652676960350E-1,-1.384995980365E1, 1.600421874526E2)); #1028=LINE('',#1027,#1026); #1029=CARTESIAN_POINT('',(0.E0,-1.643273528064E1,1.504031381479E2)); #1030=DIRECTION('',(0.E0,-9.659258262891E-1,2.588190451025E-1)); #1031=DIRECTION('',(-7.882352941176E-2,2.580137516833E-1,9.629204303334E-1)); #1032=AXIS2_PLACEMENT_3D('',#1029,#1030,#1031); #1034=DIRECTION('',(0.E0,-2.588190451025E-1,-9.659258262891E-1)); #1035=VECTOR('',#1034,1.503976780591E0); #1036=CARTESIAN_POINT('',(-6.7E-1,-1.862585216995E1,1.422183144900E2)); #1037=LINE('',#1036,#1035); #1038=DIRECTION('',(0.E0,2.588190451025E-1,9.659258262891E-1)); #1039=VECTOR('',#1038,1.503514480654E0); #1040=CARTESIAN_POINT('',(6.3E-1,-1.901578436112E1,1.407630677411E2)); #1041=LINE('',#1040,#1039); #1042=CARTESIAN_POINT('',(0.E0,-1.643273528064E1,1.504031381479E2)); #1043=DIRECTION('',(0.E0,9.659258262891E-1,-2.588190451025E-1)); #1044=DIRECTION('',(7.411764705882E-2,2.581071645056E-1,9.632690517324E-1)); #1045=AXIS2_PLACEMENT_3D('',#1042,#1043,#1044); #1047=DIRECTION('',(1.778626586467E-4,2.588190410086E-1,9.659258110105E-1)); #1048=VECTOR('',#1047,1.503429975249E0); #1049=CARTESIAN_POINT('',(6.3E-1,-1.423882438234E1,1.585909250876E2)); #1050=LINE('',#1049,#1048); #1051=CARTESIAN_POINT('',(0.E0,-1.643273528064E1,1.504031381479E2)); #1052=DIRECTION('',(0.E0,-9.659258262891E-1,2.588190451025E-1)); #1053=DIRECTION('',(1.E0,0.E0,0.E0)); #1054=AXIS2_PLACEMENT_3D('',#1051,#1052,#1053); #1056=CARTESIAN_POINT('',(0.E0,-1.643273528064E1,1.504031381479E2)); #1057=DIRECTION('',(0.E0,-9.659258262891E-1,2.588190451025E-1)); #1058=DIRECTION('',(-1.E0,0.E0,0.E0)); #1059=AXIS2_PLACEMENT_3D('',#1056,#1057,#1058); #1061=CARTESIAN_POINT('',(0.E0,-1.450088362806E1,1.498855000577E2)); #1062=DIRECTION('',(0.E0,9.659258262891E-1,-2.588190451025E-1)); #1063=DIRECTION('',(-6.7E-2,-2.582374723517E-1,-9.637553672346E-1)); #1064=AXIS2_PLACEMENT_3D('',#1061,#1062,#1063); #1066=CARTESIAN_POINT('',(-6.7E-1,-1.191818923124E1,1.595242467670E2)); #1067=CARTESIAN_POINT('',(-6.636890147481E-1,-1.191818923124E1, 1.595242467670E2)); #1068=CARTESIAN_POINT('',(-6.510679003581E-1,-1.191770972129E1, 1.595260363225E2)); #1069=CARTESIAN_POINT('',(-6.384484981955E-1,-1.191786955794E1, 1.595254398040E2)); #1070=CARTESIAN_POINT('',(-6.321392251711E-1,-1.191786955794E1, 1.595254398040E2)); #1072=CARTESIAN_POINT('',(-6.321392251711E-1,-1.191786955794E1, 1.595254398040E2)); #1073=CARTESIAN_POINT('',(-4.207527001779E-1,-1.191786955794E1, 1.595254398040E2)); #1074=CARTESIAN_POINT('',(9.903623438679E-4,-1.191787831053E1, 1.595254071389E2)); #1075=CARTESIAN_POINT('',(4.206734499362E-1,-1.191785205276E1, 1.595255051343E2)); #1076=CARTESIAN_POINT('',(6.3E-1,-1.191785205276E1,1.595255051343E2)); #1078=CARTESIAN_POINT('',(0.E0,-1.450088362806E1,1.498855000577E2)); #1079=DIRECTION('',(0.E0,9.659258262891E-1,-2.588190451025E-1)); #1080=DIRECTION('',(6.3E-2,2.583049080478E-1,9.640070406789E-1)); #1081=AXIS2_PLACEMENT_3D('',#1078,#1079,#1080); #1083=CARTESIAN_POINT('',(0.E0,-1.643273528064E1,1.504031381479E2)); #1084=DIRECTION('',(0.E0,-9.659258262891E-1,2.588190451025E-1)); #1085=DIRECTION('',(6.3E-2,-2.583049080478E-1,-9.640070406789E-1)); #1086=AXIS2_PLACEMENT_3D('',#1083,#1084,#1085); #1088=CARTESIAN_POINT('',(6.302674040525E-1,-1.384970807793E1, 1.600431269057E2)); #1089=CARTESIAN_POINT('',(1.969340707192E-1,-1.384970807793E1, 1.600431269057E2)); #1090=CARTESIAN_POINT('',(-2.319343627017E-1,-1.384995980365E1, 1.600421874526E2)); #1091=CARTESIAN_POINT('',(-6.652676960350E-1,-1.384995980365E1, 1.600421874526E2)); #1093=CARTESIAN_POINT('',(0.E0,-1.643273528064E1,1.504031381479E2)); #1094=DIRECTION('',(0.E0,-9.659258262891E-1,2.588190451025E-1)); #1095=DIRECTION('',(-6.7E-2,2.582374723517E-1,9.637553672346E-1)); #1096=AXIS2_PLACEMENT_3D('',#1093,#1094,#1095); #1098=DIRECTION('',(0.E0,-2.588190451025E-1,-9.659258262891E-1)); #1099=VECTOR('',#1098,1.503976780591E0); #1100=CARTESIAN_POINT('',(-6.7E-1,-1.669400051737E1,1.417006763998E2)); #1101=LINE('',#1100,#1099); #1102=DIRECTION('',(0.E0,-2.588190451025E-1,-9.659258262891E-1)); #1103=VECTOR('',#1102,1.505211903389E0); #1104=CARTESIAN_POINT('',(-6.7E-1,-1.191818923124E1,1.595242467670E2)); #1105=LINE('',#1104,#1103); #1106=DIRECTION('',(0.E0,2.588190451025E-1,9.659258262891E-1)); #1107=VECTOR('',#1106,1.503446845842E0); #1108=CARTESIAN_POINT('',(6.3E-1,-1.230697272977E1,1.580732869974E2)); #1109=LINE('',#1108,#1107); #1110=DIRECTION('',(0.E0,2.588190451025E-1,9.659258262891E-1)); #1111=VECTOR('',#1110,1.503514480654E0); #1112=CARTESIAN_POINT('',(6.3E-1,-1.708393270854E1,1.402454296509E2)); #1113=LINE('',#1112,#1111); #1114=CARTESIAN_POINT('',(0.E0,-1.450088362806E1,1.498855000577E2)); #1115=DIRECTION('',(0.E0,-9.659258262891E-1,2.588190451025E-1)); #1116=DIRECTION('',(0.E0,2.588190451025E-1,9.659258262891E-1)); #1117=AXIS2_PLACEMENT_3D('',#1114,#1115,#1116); #1119=CARTESIAN_POINT('',(0.E0,-1.450088362806E1,1.498855000577E2)); #1120=DIRECTION('',(0.E0,-9.659258262891E-1,2.588190451025E-1)); #1121=DIRECTION('',(0.E0,-2.588190451025E-1,-9.659258262891E-1)); #1122=AXIS2_PLACEMENT_3D('',#1119,#1120,#1121); #1124=DIRECTION('',(-2.366145329847E-3,9.658825705275E-1,-2.589696146412E-1)); #1125=VECTOR('',#1124,2.000005623202E0); #1126=CARTESIAN_POINT('',(-6.652676960350E-1,-1.384995980365E1, 1.600421874526E2)); #1127=LINE('',#1126,#1125); #1128=DIRECTION('',(0.E0,-9.659258262891E-1,2.588190451025E-1)); #1129=VECTOR('',#1128,2.E0); #1130=CARTESIAN_POINT('',(-6.7E-1,-1.708325835158E1,1.402479463853E2)); #1131=LINE('',#1130,#1129); #1132=CARTESIAN_POINT('',(0.E0,-1.450088362806E1,1.498855000577E2)); #1133=DIRECTION('',(0.E0,-9.659258262891E-1,2.588190451025E-1)); #1134=DIRECTION('',(-7.882352941176E-2,2.580137516833E-1,9.629204303334E-1)); #1135=AXIS2_PLACEMENT_3D('',#1132,#1133,#1134); #1137=DIRECTION('',(0.E0,-9.659258262891E-1,2.588190451025E-1)); #1138=VECTOR('',#1137,2.E0); #1139=CARTESIAN_POINT('',(-6.7E-1,-1.669400051737E1,1.417006763998E2)); #1140=LINE('',#1139,#1138); #1141=DIRECTION('',(0.E0,-9.659258262891E-1,2.588190451025E-1)); #1142=VECTOR('',#1141,2.E0); #1143=CARTESIAN_POINT('',(-6.7E-1,-1.230776673876E1,1.580703237155E2)); #1144=LINE('',#1143,#1142); #1145=DIRECTION('',(-1.337020250436E-4,9.659280039138E-1,-2.588108834241E-1)); #1146=VECTOR('',#1145,2.000000017948E0); #1147=CARTESIAN_POINT('',(6.302674040525E-1,-1.384970807793E1, 1.600431269057E2)); #1148=LINE('',#1147,#1146); #1149=DIRECTION('',(0.E0,-9.659258262891E-1,2.588190451025E-1)); #1150=VECTOR('',#1149,2.E0); #1151=CARTESIAN_POINT('',(6.3E-1,-1.708393270854E1,1.402454296509E2)); #1152=LINE('',#1151,#1150); #1153=CARTESIAN_POINT('',(0.E0,-1.450088362806E1,1.498855000577E2)); #1154=DIRECTION('',(0.E0,-9.659258262891E-1,2.588190451025E-1)); #1155=DIRECTION('',(7.411764705882E-2,-2.581071645056E-1,-9.632690517324E-1)); #1156=AXIS2_PLACEMENT_3D('',#1153,#1154,#1155); #1158=DIRECTION('',(0.E0,-9.659258262891E-1,2.588190451025E-1)); #1159=VECTOR('',#1158,2.E0); #1160=CARTESIAN_POINT('',(6.3E-1,-1.230697272977E1,1.580732869974E2)); #1161=LINE('',#1160,#1159); #1162=DIRECTION('',(0.E0,-9.659258262891E-1,2.588190451025E-1)); #1163=VECTOR('',#1162,2.E0); #1164=CARTESIAN_POINT('',(6.3E-1,-1.669479452636E1,1.416977131180E2)); #1165=LINE('',#1164,#1163); #1166=CARTESIAN_POINT('',(0.E0,-1.450088362806E1,1.498855000577E2)); #1167=DIRECTION('',(0.E0,-9.659258262891E-1,2.588190451025E-1)); #1168=DIRECTION('',(1.E0,0.E0,0.E0)); #1169=AXIS2_PLACEMENT_3D('',#1166,#1167,#1168); #1171=DIRECTION('',(0.E0,9.659258262891E-1,-2.588190451025E-1)); #1172=VECTOR('',#1171,2.E0); #1173=CARTESIAN_POINT('',(1.025E1,-1.643273528064E1,1.504031381479E2)); #1174=LINE('',#1173,#1172); #1175=CARTESIAN_POINT('',(0.E0,-1.450088362806E1,1.498855000577E2)); #1176=DIRECTION('',(0.E0,-9.659258262891E-1,2.588190451025E-1)); #1177=DIRECTION('',(-1.E0,0.E0,0.E0)); #1178=AXIS2_PLACEMENT_3D('',#1175,#1176,#1177); #1180=DIRECTION('',(0.E0,9.659258262891E-1,-2.588190451025E-1)); #1181=VECTOR('',#1180,2.E0); #1182=CARTESIAN_POINT('',(-1.025E1,-1.643273528064E1,1.504031381479E2)); #1183=LINE('',#1182,#1181); #1184=CARTESIAN_POINT('',(0.E0,-1.546680945435E1,1.501443191028E2)); #1185=DIRECTION('',(0.E0,-9.659258262891E-1,2.588190451025E-1)); #1186=DIRECTION('',(0.E0,2.588190451025E-1,9.659258262891E-1)); #1187=AXIS2_PLACEMENT_3D('',#1184,#1185,#1186); #1189=DIRECTION('',(0.E0,9.101741875362E-1,-4.142257214886E-1)); #1190=VECTOR('',#1189,1.013818076943E0); #1191=CARTESIAN_POINT('',(0.E0,-1.753736181517E1,1.424169124925E2)); #1192=LINE('',#1191,#1190); #1193=CARTESIAN_POINT('',(0.E0,-1.546680945435E1,1.501443191028E2)); #1194=DIRECTION('',(0.E0,9.659258262891E-1,-2.588190451025E-1)); #1195=DIRECTION('',(0.E0,2.588190451025E-1,9.659258262891E-1)); #1196=AXIS2_PLACEMENT_3D('',#1193,#1194,#1195); #1198=DIRECTION('',(0.E0,9.953468290195E-1,-9.635709605811E-2)); #1199=VECTOR('',#1198,1.013818076943E0); #1200=CARTESIAN_POINT('',(0.E0,-1.339625709353E1,1.578717257131E2)); #1201=LINE('',#1200,#1199); #1202=VERTEX_POINT('',#461); #1203=VERTEX_POINT('',#490); #1204=VERTEX_POINT('',#128); #1205=VERTEX_POINT('',#157); #1206=VERTEX_POINT('',#550); #1207=VERTEX_POINT('',#817); #1208=VERTEX_POINT('',#173); #1209=VERTEX_POINT('',#440); #1210=VERTEX_POINT('',#492); #1211=VERTEX_POINT('',#528); #1212=VERTEX_POINT('',#535); #1213=VERTEX_POINT('',#543); #1214=VERTEX_POINT('',#887); #1215=VERTEX_POINT('',#903); #1216=CARTESIAN_POINT('',(0.E0,-2.299703775940E1,2.437643807699E1)); #1217=CARTESIAN_POINT('',(0.E0,-3.700296224060E1,2.062356192301E1)); #1218=VERTEX_POINT('',#1216); #1219=VERTEX_POINT('',#1217); #1220=CARTESIAN_POINT('',(0.E0,-1.340455245294E1,1.617044703155E2)); #1221=CARTESIAN_POINT('',(0.E0,-1.303712899152E1,1.619166023498E2)); #1222=VERTEX_POINT('',#1220); #1223=VERTEX_POINT('',#1221); #1224=CARTESIAN_POINT('',(0.E0,-6.855203703274E0,1.602601604612E2)); #1225=VERTEX_POINT('',#1224); #1226=CARTESIAN_POINT('',(0.E0,-1.946091810834E1,1.391018059803E2)); #1227=CARTESIAN_POINT('',(0.E0,-1.924878607398E1,1.387343825189E2)); #1228=VERTEX_POINT('',#1226); #1229=VERTEX_POINT('',#1227); #1230=CARTESIAN_POINT('',(0.E0,-1.306686078573E1,1.370779406302E2)); #1231=VERTEX_POINT('',#1230); #1232=CARTESIAN_POINT('',(-6.7E-1,-1.423961839133E1,1.585879618057E2)); #1233=CARTESIAN_POINT('',(-6.7E-1,-1.862585216995E1,1.422183144900E2)); #1234=VERTEX_POINT('',#1232); #1235=VERTEX_POINT('',#1233); #1236=CARTESIAN_POINT('',(6.3E-1,-1.423882438234E1,1.585909250876E2)); #1237=CARTESIAN_POINT('',(6.3E-1,-1.862664617894E1,1.422153512082E2)); #1238=VERTEX_POINT('',#1236); #1239=VERTEX_POINT('',#1237); #1240=CARTESIAN_POINT('',(6.302674040525E-1,-1.384970807793E1, 1.600431269057E2)); #1241=CARTESIAN_POINT('',(6.3E-1,-1.191785205276E1,1.595255051343E2)); #1242=VERTEX_POINT('',#1240); #1243=VERTEX_POINT('',#1241); #1244=CARTESIAN_POINT('',(1.025E1,-1.643273528064E1,1.504031381479E2)); #1245=CARTESIAN_POINT('',(-1.025E1,-1.643273528064E1,1.504031381479E2)); #1246=VERTEX_POINT('',#1244); #1247=VERTEX_POINT('',#1245); #1248=CARTESIAN_POINT('',(-6.652676960350E-1,-1.384995980365E1, 1.600421874526E2)); #1249=VERTEX_POINT('',#1248); #1250=CARTESIAN_POINT('',(-6.7E-1,-1.901511000416E1,1.407655844755E2)); #1251=VERTEX_POINT('',#1250); #1252=CARTESIAN_POINT('',(6.3E-1,-1.901578436112E1,1.407630677411E2)); #1253=VERTEX_POINT('',#1252); #1254=CARTESIAN_POINT('',(-6.7E-1,-1.191818923124E1,1.595242467670E2)); #1255=VERTEX_POINT('',#1254); #1256=CARTESIAN_POINT('',(0.E0,-1.269943732432E1,1.372900726646E2)); #1257=CARTESIAN_POINT('',(0.E0,-6.643071668918E0,1.598927369997E2)); #1258=VERTEX_POINT('',#1256); #1259=VERTEX_POINT('',#1257); #1260=CARTESIAN_POINT('',(-6.7E-1,-1.230776673876E1,1.580703237155E2)); #1261=CARTESIAN_POINT('',(-6.7E-1,-1.669400051737E1,1.417006763998E2)); #1262=VERTEX_POINT('',#1260); #1263=VERTEX_POINT('',#1261); #1264=CARTESIAN_POINT('',(6.3E-1,-1.669479452636E1,1.416977131180E2)); #1265=CARTESIAN_POINT('',(6.3E-1,-1.230697272977E1,1.580732869974E2)); #1266=VERTEX_POINT('',#1264); #1267=VERTEX_POINT('',#1265); #1268=CARTESIAN_POINT('',(1.025E1,-1.450088362806E1,1.498855000577E2)); #1269=CARTESIAN_POINT('',(-1.025E1,-1.450088362806E1,1.498855000577E2)); #1270=VERTEX_POINT('',#1268); #1271=VERTEX_POINT('',#1269); #1272=CARTESIAN_POINT('',(-6.7E-1,-1.708325835158E1,1.402479463853E2)); #1273=VERTEX_POINT('',#1272); #1274=CARTESIAN_POINT('',(6.3E-1,-1.708393270854E1,1.402454296509E2)); #1275=VERTEX_POINT('',#1274); #1276=VERTEX_POINT('',#1070); #1277=CARTESIAN_POINT('',(0.E0,-1.339625709353E1,1.578717257131E2)); #1278=CARTESIAN_POINT('',(0.E0,-1.753736181517E1,1.424169124925E2)); #1279=VERTEX_POINT('',#1277); #1280=VERTEX_POINT('',#1278); #1281=CARTESIAN_POINT('',(0.E0,-1.238715648544E1,1.577740371473E2)); #1282=CARTESIAN_POINT('',(0.E0,-1.661461077068E1,1.419969629681E2)); #1283=VERTEX_POINT('',#1281); #1284=VERTEX_POINT('',#1282); #1285=VERTEX_POINT('',#54); #1286=VERTEX_POINT('',#72); #1287=VERTEX_POINT('',#29); #1288=VERTEX_POINT('',#46); #1289=CARTESIAN_POINT('',(0.E0,-2.205352315415E1,2.413934144188E1)); #1290=CARTESIAN_POINT('',(0.E0,-3.770152154003E1,1.994647291122E1)); #1291=VERTEX_POINT('',#1289); #1292=VERTEX_POINT('',#1290); #1293=CARTESIAN_POINT('',(-9.561690051642E-1,-1.099978502454E1, 1.365407063867E2)); #1294=CARTESIAN_POINT('',(1.056790543731E0,-1.080579768707E1,1.352535804758E2)); #1295=CARTESIAN_POINT('',(3.069750092626E0,-1.061181034959E1,1.339664545650E2)); #1296=CARTESIAN_POINT('',(5.082709641521E0,-1.041782301211E1,1.326793286542E2)); #1297=CARTESIAN_POINT('',(-9.003017353186E-1,-1.100272641642E1, 1.365561567139E2)); #1298=CARTESIAN_POINT('',(1.081787081819E0,-1.081212717090E1,1.352949994209E2)); #1299=CARTESIAN_POINT('',(3.063875898958E0,-1.062152792538E1,1.340338421280E2)); #1300=CARTESIAN_POINT('',(5.045964716096E0,-1.043092867986E1,1.327726848350E2)); #1301=CARTESIAN_POINT('',(-7.747554109923E-1,-1.101174581275E1, 1.366085529413E2)); #1302=CARTESIAN_POINT('',(1.172118749683E0,-1.082563783188E1,1.353863798699E2)); #1303=CARTESIAN_POINT('',(3.118992910358E0,-1.063952985101E1,1.341642067984E2)); #1304=CARTESIAN_POINT('',(5.065867071034E0,-1.045342187013E1,1.329420337269E2)); #1305=CARTESIAN_POINT('',(-6.140111356843E-1,-1.102911481218E1, 1.367243558606E2)); #1306=CARTESIAN_POINT('',(1.377414710785E0,-1.084057782427E1,1.355013052236E2)); #1307=CARTESIAN_POINT('',(3.368840557254E0,-1.065204083635E1,1.342782545866E2)); #1308=CARTESIAN_POINT('',(5.360266403723E0,-1.046350384843E1,1.330552039495E2)); #1309=CARTESIAN_POINT('',(-3.498989418795E-1,-1.105141492115E1, 1.368967699005E2)); #1310=CARTESIAN_POINT('',(1.659304143409E0,-1.086402151148E1,1.357039302108E2)); #1311=CARTESIAN_POINT('',(3.668507228697E0,-1.067662810182E1,1.345110905212E2)); #1312=CARTESIAN_POINT('',(5.677710313985E0,-1.048923469215E1,1.333182508315E2)); #1313=CARTESIAN_POINT('',(1.020398483931E-1,-1.108586598228E1, 1.372291353296E2)); #1314=CARTESIAN_POINT('',(2.163779394092E0,-1.089856572162E1,1.360761685210E2)); #1315=CARTESIAN_POINT('',(4.225518939790E0,-1.071126546096E1,1.349232017124E2)); #1316=CARTESIAN_POINT('',(6.287258485489E0,-1.052396520030E1,1.337702349039E2)); #1317=CARTESIAN_POINT('',(7.449806753940E-1,-1.111928426877E1, 1.377708816301E2)); #1318=CARTESIAN_POINT('',(2.865496220066E0,-1.093429502629E1,1.366864835707E2)); #1319=CARTESIAN_POINT('',(4.986011764737E0,-1.074930578381E1,1.356020855113E2)); #1320=CARTESIAN_POINT('',(7.106527309409E0,-1.056431654133E1,1.345176874518E2)); #1321=CARTESIAN_POINT('',(1.611712895555E0,-1.114004251638E1,1.386566880398E2)); #1322=CARTESIAN_POINT('',(3.813455015192E0,-1.096087735377E1,1.376710890480E2)); #1323=CARTESIAN_POINT('',(6.015197134829E0,-1.078171219116E1,1.366854900563E2)); #1324=CARTESIAN_POINT('',(8.216939254466E0,-1.060254702855E1,1.356998910646E2)); #1325=CARTESIAN_POINT('',(2.536007822266E0,-1.112996900094E1,1.398403432903E2)); #1326=CARTESIAN_POINT('',(4.817458248824E0,-1.096284756265E1,1.389790081129E2)); #1327=CARTESIAN_POINT('',(7.098908675382E0,-1.079572612436E1,1.381176729355E2)); #1328=CARTESIAN_POINT('',(9.380359101940E0,-1.062860468608E1,1.372563377581E2)); #1329=CARTESIAN_POINT('',(3.443941050703E0,-1.107784825095E1,1.413752808145E2)); #1330=CARTESIAN_POINT('',(5.800206520526E0,-1.093023546470E1,1.406625146900E2)); #1331=CARTESIAN_POINT('',(8.156471990350E0,-1.078262267845E1,1.399497485654E2)); #1332=CARTESIAN_POINT('',(1.051273746017E1,-1.063500989220E1,1.392369824409E2)); #1333=CARTESIAN_POINT('',(4.024479579023E0,-1.098318290383E1,1.426963065027E2)); #1334=CARTESIAN_POINT('',(6.428176791674E0,-1.084215957255E1,1.421033968932E2)); #1335=CARTESIAN_POINT('',(8.831874004325E0,-1.070113624128E1,1.415104872837E2)); #1336=CARTESIAN_POINT('',(1.123557121698E1,-1.056011291E1,1.409175776742E2)); #1337=CARTESIAN_POINT('',(4.438827775532E0,-1.085095524959E1,1.438812706891E2)); #1338=CARTESIAN_POINT('',(6.876940323495E0,-1.070335727016E1,1.433921159224E2)); #1339=CARTESIAN_POINT('',(9.315052871457E0,-1.055575929074E1,1.429029611558E2)); #1340=CARTESIAN_POINT('',(1.175316541942E1,-1.040816131132E1,1.424138063891E2)); #1341=CARTESIAN_POINT('',(4.962707904291E0,-1.059714268607E1,1.457106294505E2)); #1342=CARTESIAN_POINT('',(7.444866438776E0,-1.042850651825E1,1.453782458905E2)); #1343=CARTESIAN_POINT('',(9.927024973261E0,-1.025987035043E1,1.450458623304E2)); #1344=CARTESIAN_POINT('',(1.240918350775E1,-1.009123418262E1,1.447134787704E2)); #1345=CARTESIAN_POINT('',(5.331644323415E0,-9.975623364947E0,1.487123325696E2)); #1346=CARTESIAN_POINT('',(7.845787491255E0,-9.737875405076E0,1.486277821467E2)); #1347=CARTESIAN_POINT('',(1.035993065910E1,-9.500127445204E0,1.485432317237E2)); #1348=CARTESIAN_POINT('',(1.287407382694E1,-9.262379485333E0,1.484586813008E2)); #1349=CARTESIAN_POINT('',(4.964799098601E0,-9.064081962641E0,1.516303995956E2)); #1350=CARTESIAN_POINT('',(7.451367397595E0,-8.710920321187E0,1.517797678229E2)); #1351=CARTESIAN_POINT('',(9.937935696590E0,-8.357758679733E0,1.519291360502E2)); #1352=CARTESIAN_POINT('',(1.242450399558E1,-8.004597038279E0,1.520785042775E2)); #1353=CARTESIAN_POINT('',(4.268240665684E0,-8.215930264827E0,1.539276450669E2)); #1354=CARTESIAN_POINT('',(6.700390196575E0,-7.753916048143E0,1.542644656438E2)); #1355=CARTESIAN_POINT('',(9.132539727465E0,-7.291901831459E0,1.546012862206E2)); #1356=CARTESIAN_POINT('',(1.156468925836E1,-6.829887614774E0,1.549381067975E2)); #1357=CARTESIAN_POINT('',(3.306777017022E0,-7.339248236167E0,1.560415182246E2)); #1358=CARTESIAN_POINT('',(5.662703226249E0,-6.762504032853E0,1.565560278891E2)); #1359=CARTESIAN_POINT('',(8.018629435476E0,-6.185759829540E0,1.570705375535E2)); #1360=CARTESIAN_POINT('',(1.037455564470E1,-5.609015626226E0,1.575850472180E2)); #1361=CARTESIAN_POINT('',(1.757050538635E0,-6.363487465499E0,1.580338167627E2)); #1362=CARTESIAN_POINT('',(3.984199621923E0,-5.659635025341E0,1.587376817538E2)); #1363=CARTESIAN_POINT('',(6.211348705210E0,-4.955782585183E0,1.594415467449E2)); #1364=CARTESIAN_POINT('',(8.438497788498E0,-4.251930145025E0,1.601454117361E2)); #1365=CARTESIAN_POINT('',(2.287823105751E-1,-5.628093869977E0, 1.592138439564E2)); #1366=CARTESIAN_POINT('',(2.310212107858E0,-4.838959048801E0,1.600578448923E2)); #1367=CARTESIAN_POINT('',(4.391641905142E0,-4.049824227625E0,1.609018458282E2)); #1368=CARTESIAN_POINT('',(6.473071702425E0,-3.260689406448E0,1.617458467640E2)); #1369=CARTESIAN_POINT('',(-6.255103069765E-1,-5.254632418517E0, 1.596718348584E2)); #1370=CARTESIAN_POINT('',(1.366224456611E0,-4.424948533094E0,1.605860511539E2)); #1371=CARTESIAN_POINT('',(3.357959220198E0,-3.595264647671E0,1.615002674495E2)); #1372=CARTESIAN_POINT('',(5.349693983785E0,-2.765580762247E0,1.624144837450E2)); #1373=CARTESIAN_POINT('',(-9.590042538105E-1,-5.113392961449E0, 1.598182188806E2)); #1374=CARTESIAN_POINT('',(9.962032091083E-1,-4.268516045939E0, 1.607590214963E2)); #1375=CARTESIAN_POINT('',(2.951410672027E0,-3.423639130428E0,1.616998241121E2)); #1376=CARTESIAN_POINT('',(4.906618134946E0,-2.578762214917E0,1.626406267278E2)); #1377=CARTESIAN_POINT('',(-1.033587828931E0,-5.082011728008E0, 1.598493314150E2)); #1378=CARTESIAN_POINT('',(9.133718860662E-1,-4.233761346830E0, 1.607960457034E2)); #1379=CARTESIAN_POINT('',(2.860331601063E0,-3.385510965651E0,1.617427599918E2)); #1380=CARTESIAN_POINT('',(4.807291316061E0,-2.537260584473E0,1.626894742801E2)); #1381=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#1293,#1294,#1295,#1296),(#1297, #1298,#1299,#1300),(#1301,#1302,#1303,#1304),(#1305,#1306,#1307,#1308),(#1309, #1310,#1311,#1312),(#1313,#1314,#1315,#1316),(#1317,#1318,#1319,#1320),(#1321, #1322,#1323,#1324),(#1325,#1326,#1327,#1328),(#1329,#1330,#1331,#1332),(#1333, #1334,#1335,#1336),(#1337,#1338,#1339,#1340),(#1341,#1342,#1343,#1344),(#1345, #1346,#1347,#1348),(#1349,#1350,#1351,#1352),(#1353,#1354,#1355,#1356),(#1357, #1358,#1359,#1360),(#1361,#1362,#1363,#1364),(#1365,#1366,#1367,#1368),(#1369, #1370,#1371,#1372),(#1373,#1374,#1375,#1376),(#1377,#1378,#1379,#1380)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(4,4),( -6.631057145578E-3,0.E0,1.041666666667E-2,2.083333333333E-2,4.166666666667E-2, 8.333333333333E-2,1.25E-1,1.875E-1,2.5E-1,3.125E-1,3.333333333333E-1,3.75E-1, 5.E-1,6.25E-1,6.666666666667E-1,7.5E-1,8.75E-1,9.583333333333E-1,1.E0, 1.012028141086E0),(4.171540403121E-1,1.022435628461E0),.UNSPECIFIED.); #1383=ORIENTED_EDGE('',*,*,#1382,.T.); #1385=ORIENTED_EDGE('',*,*,#1384,.T.); #1387=ORIENTED_EDGE('',*,*,#1386,.F.); #1389=ORIENTED_EDGE('',*,*,#1388,.T.); #1390=EDGE_LOOP('',(#1383,#1385,#1387,#1389)); #1391=FACE_OUTER_BOUND('',#1390,.F.); #1393=CARTESIAN_POINT('',(0.E0,-3.247847751795E0,1.468702581822E2)); #1394=DIRECTION('',(0.E0,-9.659258262891E-1,2.588190451025E-1)); #1395=DIRECTION('',(0.E0,-2.588190451025E-1,-9.659258262891E-1)); #1396=AXIS2_PLACEMENT_3D('',#1393,#1394,#1395); #1397=CYLINDRICAL_SURFACE('',#1396,1.17E1); #1398=ORIENTED_EDGE('',*,*,#1382,.F.); #1400=ORIENTED_EDGE('',*,*,#1399,.T.); #1402=ORIENTED_EDGE('',*,*,#1401,.F.); #1404=ORIENTED_EDGE('',*,*,#1403,.F.); #1405=EDGE_LOOP('',(#1398,#1400,#1402,#1404)); #1406=FACE_OUTER_BOUND('',#1405,.F.); #1408=CARTESIAN_POINT('',(0.E0,-3.247847751795E0,1.468702581822E2)); #1409=DIRECTION('',(0.E0,-9.659258262891E-1,2.588190451025E-1)); #1410=DIRECTION('',(0.E0,-2.588190451025E-1,-9.659258262891E-1)); #1411=AXIS2_PLACEMENT_3D('',#1408,#1409,#1410); #1412=CYLINDRICAL_SURFACE('',#1411,1.17E1); #1414=ORIENTED_EDGE('',*,*,#1413,.F.); #1415=ORIENTED_EDGE('',*,*,#1403,.T.); #1417=ORIENTED_EDGE('',*,*,#1416,.F.); #1418=ORIENTED_EDGE('',*,*,#1399,.F.); #1419=EDGE_LOOP('',(#1414,#1415,#1417,#1418)); #1420=FACE_OUTER_BOUND('',#1419,.F.); #1422=CARTESIAN_POINT('',(9.588704059001E-1,-1.099978837705E1, 1.365407129913E2)); #1423=CARTESIAN_POINT('',(-1.062196496610E0,-1.080584264809E1, 1.352538792904E2)); #1424=CARTESIAN_POINT('',(-3.083263399121E0,-1.061189691913E1, 1.339670455895E2)); #1425=CARTESIAN_POINT('',(-5.104330301631E0,-1.041795119017E1, 1.326802118886E2)); #1426=CARTESIAN_POINT('',(9.031907240208E-1,-1.100272787180E1, 1.365561584282E2)); #1427=CARTESIAN_POINT('',(-1.086896820616E0,-1.081216235935E1, 1.352952360004E2)); #1428=CARTESIAN_POINT('',(-3.076984365253E0,-1.062159684689E1, 1.340343135726E2)); #1429=CARTESIAN_POINT('',(-5.067071909890E0,-1.043103133443E1, 1.327733911447E2)); #1430=CARTESIAN_POINT('',(7.780600727048E-1,-1.101174425397E1, 1.366085427939E2)); #1431=CARTESIAN_POINT('',(-1.176567448228E0,-1.082566486368E1, 1.353865627188E2)); #1432=CARTESIAN_POINT('',(-3.131194969161E0,-1.063958547339E1, 1.341645826437E2)); #1433=CARTESIAN_POINT('',(-5.085822490094E0,-1.045350608310E1, 1.329426025685E2)); #1434=CARTESIAN_POINT('',(6.180635406951E-1,-1.102910843684E1, 1.367243158570E2)); #1435=CARTESIAN_POINT('',(-1.381049184427E0,-1.084060131368E1, 1.355014552535E2)); #1436=CARTESIAN_POINT('',(-3.380161909548E0,-1.065209419052E1, 1.342785946501E2)); #1437=CARTESIAN_POINT('',(-5.379274634670E0,-1.046358706736E1, 1.330557340466E2)); #1438=CARTESIAN_POINT('',(3.548826407750E-1,-1.105140844290E1, 1.368967315008E2)); #1439=CARTESIAN_POINT('',(-1.661733698333E0,-1.086404534786E1, 1.357040717998E2)); #1440=CARTESIAN_POINT('',(-3.678350037441E0,-1.067668225283E1, 1.345114120988E2)); #1441=CARTESIAN_POINT('',(-5.694966376548E0,-1.048931915779E1, 1.333187523977E2)); #1442=CARTESIAN_POINT('',(-9.553640961542E-2,-1.108585946818E1, 1.372290966937E2)); #1443=CARTESIAN_POINT('',(-2.164319748071E0,-1.089859143446E1, 1.360762937921E2)); #1444=CARTESIAN_POINT('',(-4.233103086527E0,-1.071132340073E1, 1.349234908906E2)); #1445=CARTESIAN_POINT('',(-6.301886424982E0,-1.052405536701E1, 1.337706879891E2)); #1446=CARTESIAN_POINT('',(-7.367652490829E-1,-1.111927994802E1, 1.377708351246E2)); #1447=CARTESIAN_POINT('',(-2.863789774989E0,-1.093432671633E1, 1.366865747213E2)); #1448=CARTESIAN_POINT('',(-4.990814300895E0,-1.074937348465E1, 1.356023143181E2)); #1449=CARTESIAN_POINT('',(-7.117838826800E0,-1.056442025296E1, 1.345180539148E2)); #1450=CARTESIAN_POINT('',(-1.601864753045E0,-1.114003780187E1, 1.386566152297E2)); #1451=CARTESIAN_POINT('',(-3.809392840988E0,-1.096089542822E1, 1.376711127115E2)); #1452=CARTESIAN_POINT('',(-6.016920928930E0,-1.078175305457E1, 1.366856101934E2)); #1453=CARTESIAN_POINT('',(-8.224449016873E0,-1.060261068092E1, 1.357001076752E2)); #1454=CARTESIAN_POINT('',(-2.525292572660E0,-1.112992474192E1, 1.398402151216E2)); #1455=CARTESIAN_POINT('',(-4.811701544782E0,-1.096281149708E1, 1.389789269652E2)); #1456=CARTESIAN_POINT('',(-7.098110516905E0,-1.079569825224E1, 1.381176388087E2)); #1457=CARTESIAN_POINT('',(-9.384519489028E0,-1.062858500740E1, 1.372563506523E2)); #1458=CARTESIAN_POINT('',(-3.433419466264E0,-1.107806311062E1, 1.413751392047E2)); #1459=CARTESIAN_POINT('',(-5.793741697621E0,-1.093061616483E1, 1.406624038036E2)); #1460=CARTESIAN_POINT('',(-8.154063928978E0,-1.078316921905E1, 1.399496684026E2)); #1461=CARTESIAN_POINT('',(-1.051438616034E1,-1.063572227326E1, 1.392369330016E2)); #1462=CARTESIAN_POINT('',(-4.014870126179E0,-1.098295178632E1, 1.426960707826E2)); #1463=CARTESIAN_POINT('',(-6.421940415028E0,-1.084181504504E1, 1.421031258653E2)); #1464=CARTESIAN_POINT('',(-8.829010703877E0,-1.070067830376E1, 1.415101809480E2)); #1465=CARTESIAN_POINT('',(-1.123608099273E1,-1.055954156248E1, 1.409172360307E2)); #1466=CARTESIAN_POINT('',(-4.430286121822E0,-1.085088331260E1, 1.438810306123E2)); #1467=CARTESIAN_POINT('',(-6.871166287944E0,-1.070327487227E1, 1.433918307400E2)); #1468=CARTESIAN_POINT('',(-9.312046454066E0,-1.055566643194E1, 1.429026308677E2)); #1469=CARTESIAN_POINT('',(-1.175292662019E1,-1.040805799162E1, 1.424134309953E2)); #1470=CARTESIAN_POINT('',(-4.956080147376E0,-1.059747779231E1, 1.457104122500E2)); #1471=CARTESIAN_POINT('',(-7.440095120837E0,-1.042904558011E1, 1.453779789489E2)); #1472=CARTESIAN_POINT('',(-9.924110094298E0,-1.026061336792E1, 1.450455456478E2)); #1473=CARTESIAN_POINT('',(-1.240812506776E1,-1.009218115573E1, 1.447131123467E2)); #1474=CARTESIAN_POINT('',(-5.329127216446E0,-9.975572834826E0, 1.487120614519E2)); #1475=CARTESIAN_POINT('',(-7.843761447296E0,-9.737836429356E0, 1.486273971376E2)); #1476=CARTESIAN_POINT('',(-1.035839567815E1,-9.500100023886E0, 1.485427328233E2)); #1477=CARTESIAN_POINT('',(-1.287302990900E1,-9.262363618416E0, 1.484580685090E2)); #1478=CARTESIAN_POINT('',(-4.966538340779E0,-9.064157027485E0, 1.516302285361E2)); #1479=CARTESIAN_POINT('',(-7.452207583247E0,-8.711095903426E0, 1.517794842722E2)); #1480=CARTESIAN_POINT('',(-9.937876825714E0,-8.358034779366E0, 1.519287400083E2)); #1481=CARTESIAN_POINT('',(-1.242354606818E1,-8.004973655306E0, 1.520779957444E2)); #1482=CARTESIAN_POINT('',(-4.272984390105E0,-8.215938935564E0, 1.539275295340E2)); #1483=CARTESIAN_POINT('',(-6.703050886445E0,-7.754012499990E0, 1.542642240497E2)); #1484=CARTESIAN_POINT('',(-9.133117382786E0,-7.292086064417E0, 1.546009185654E2)); #1485=CARTESIAN_POINT('',(-1.156318387913E1,-6.830159628843E0, 1.549376130811E2)); #1486=CARTESIAN_POINT('',(-3.313820741454E0,-7.339256968010E0, 1.560414686318E2)); #1487=CARTESIAN_POINT('',(-5.666463356584E0,-6.762622937886E0, 1.565558459443E2)); #1488=CARTESIAN_POINT('',(-8.019105971714E0,-6.185988907761E0, 1.570702232569E2)); #1489=CARTESIAN_POINT('',(-1.037174858684E1,-5.609354877637E0, 1.575846005694E2)); #1490=CARTESIAN_POINT('',(-1.764755302436E0,-6.363471037308E0, 1.580338220969E2)); #1491=CARTESIAN_POINT('',(-3.987253784409E0,-5.659744836696E0, 1.587375468183E2)); #1492=CARTESIAN_POINT('',(-6.209752266382E0,-4.956018636083E0, 1.594412715397E2)); #1493=CARTESIAN_POINT('',(-8.432250748355E0,-4.252292435471E0, 1.601449962610E2)); #1494=CARTESIAN_POINT('',(-2.343135175619E-1,-5.628066008345E0, 1.592138733103E2)); #1495=CARTESIAN_POINT('',(-2.309861877238E0,-4.839055973482E0, 1.600577257565E2)); #1496=CARTESIAN_POINT('',(-4.385410236915E0,-4.050045938620E0, 1.609015782026E2)); #1497=CARTESIAN_POINT('',(-6.460958596591E0,-3.261035903758E0, 1.617454306487E2)); #1498=CARTESIAN_POINT('',(6.219957336994E-1,-5.254697718734E0, 1.596717538064E2)); #1499=CARTESIAN_POINT('',(-1.363169479202E0,-4.425154364521E0, 1.605858045864E2)); #1500=CARTESIAN_POINT('',(-3.348334692103E0,-3.595611010308E0, 1.614998553664E2)); #1501=CARTESIAN_POINT('',(-5.333499905004E0,-2.766067656094E0, 1.624139061464E2)); #1502=CARTESIAN_POINT('',(9.563340516564E-1,-5.113543025012E0, 1.598180639225E2)); #1503=CARTESIAN_POINT('',(-9.920430541908E-1,-4.268820197919E0, 1.607586862276E2)); #1504=CARTESIAN_POINT('',(-2.940420160038E0,-3.424097370827E0, 1.616993085326E2)); #1505=CARTESIAN_POINT('',(-4.888797265885E0,-2.579374543735E0, 1.626399308377E2)); #1506=CARTESIAN_POINT('',(1.030952294653E0,-5.082248657098E0,1.598490972461E2)); #1507=CARTESIAN_POINT('',(-9.091367657247E-1,-4.234162838739E0, 1.607956151463E2)); #1508=CARTESIAN_POINT('',(-2.849225826102E0,-3.386077020381E0, 1.617421330465E2)); #1509=CARTESIAN_POINT('',(-4.789314886480E0,-2.537991202022E0, 1.626886509468E2)); #1510=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#1422,#1423,#1424,#1425),(#1426, #1427,#1428,#1429),(#1430,#1431,#1432,#1433),(#1434,#1435,#1436,#1437),(#1438, #1439,#1440,#1441),(#1442,#1443,#1444,#1445),(#1446,#1447,#1448,#1449),(#1450, #1451,#1452,#1453),(#1454,#1455,#1456,#1457),(#1458,#1459,#1460,#1461),(#1462, #1463,#1464,#1465),(#1466,#1467,#1468,#1469),(#1470,#1471,#1472,#1473),(#1474, #1475,#1476,#1477),(#1478,#1479,#1480,#1481),(#1482,#1483,#1484,#1485),(#1486, #1487,#1488,#1489),(#1490,#1491,#1492,#1493),(#1494,#1495,#1496,#1497),(#1498, #1499,#1500,#1501),(#1502,#1503,#1504,#1505),(#1506,#1507,#1508,#1509)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(4,4),( -6.623740101250E-3,0.E0,1.041666666667E-2,2.083333333333E-2,4.166666666667E-2, 8.333333333333E-2,1.25E-1,1.875E-1,2.5E-1,3.125E-1,3.333333333333E-1,3.75E-1, 5.E-1,6.25E-1,6.666666666667E-1,7.5E-1,8.75E-1,9.583333333333E-1,1.E0, 1.011994111314E0),(4.171608563535E-1,1.022346495937E0),.UNSPECIFIED.); #1511=ORIENTED_EDGE('',*,*,#1413,.T.); #1512=ORIENTED_EDGE('',*,*,#1388,.F.); #1514=ORIENTED_EDGE('',*,*,#1513,.F.); #1515=ORIENTED_EDGE('',*,*,#1384,.F.); #1516=EDGE_LOOP('',(#1511,#1512,#1514,#1515)); #1517=FACE_OUTER_BOUND('',#1516,.F.); #1519=CARTESIAN_POINT('',(-2.290836824590E1,-5.418973474869E1, 1.428121343594E1)); #1520=CARTESIAN_POINT('',(-2.294642174839E1,-5.282720741579E1, 1.468326486878E1)); #1521=CARTESIAN_POINT('',(-2.304064362585E1,-4.944237476270E1, 1.567918440250E1)); #1522=CARTESIAN_POINT('',(-2.321781863682E1,-4.305093402456E1, 1.754590579518E1)); #1523=CARTESIAN_POINT('',(-2.340159598440E1,-3.650933058982E1, 1.942719735177E1)); #1524=CARTESIAN_POINT('',(-2.356945664274E1,-3.043753210138E1, 2.117073112619E1)); #1525=CARTESIAN_POINT('',(-2.369329300875E1,-2.585529768995E1, 2.248818134728E1)); #1526=CARTESIAN_POINT('',(-2.378056237777E1,-2.200110684455E1, 2.359156924324E1)); #1527=CARTESIAN_POINT('',(-2.383913747036E1,-1.889331626790E1, 2.449158766463E1)); #1528=CARTESIAN_POINT('',(-2.387932140076E1,-1.648926101271E1, 2.515874221500E1)); #1529=CARTESIAN_POINT('',(-2.390678284793E1,-1.404355915583E1, 2.595430448714E1)); #1530=CARTESIAN_POINT('',(-2.391443941427E1,-1.079381858428E1, 2.738232050970E1)); #1531=CARTESIAN_POINT('',(-2.387302135039E1,-6.943880920253E0, 2.971798826796E1)); #1532=CARTESIAN_POINT('',(-2.374190777021E1,-2.861486256062E0, 3.336041203506E1)); #1533=CARTESIAN_POINT('',(-2.353577165845E1,5.045322290648E-1, 3.770951618425E1)); #1534=CARTESIAN_POINT('',(-2.326813650721E1,3.014493321497E0,4.259863365043E1)); #1535=CARTESIAN_POINT('',(-2.296164795807E1,4.580707661530E0,4.783004691908E1)); #1536=CARTESIAN_POINT('',(-2.269934044676E1,5.065720155992E0,5.229430871243E1)); #1537=CARTESIAN_POINT('',(-2.250060734209E1,4.985219877871E0,5.583260499185E1)); #1538=CARTESIAN_POINT('',(-2.236334374275E1,4.667623684195E0,5.842335044846E1)); #1539=CARTESIAN_POINT('',(-2.223352764247E1,4.239125611999E0,6.097451296905E1)); #1540=CARTESIAN_POINT('',(-2.206027304960E1,3.712895502819E0,6.437113572809E1)); #1541=CARTESIAN_POINT('',(-2.184734140941E1,3.039191116010E0,6.860119913122E1)); #1542=CARTESIAN_POINT('',(-2.159517754077E1,2.242001151740E0,7.365985674446E1)); #1543=CARTESIAN_POINT('',(-2.126333448346E1,1.180305633929E0,8.037898542567E1)); #1544=CARTESIAN_POINT('',(-2.085457167133E1,-1.384139516879E-1, 8.873125323385E1)); #1545=CARTESIAN_POINT('',(-2.037102764083E1,-1.709498420395E0, 9.868035246937E1)); #1546=CARTESIAN_POINT('',(-1.989733517598E1,-3.269105481962E0, 1.085575972495E2)); #1547=CARTESIAN_POINT('',(-1.951227868333E1,-4.559175344703E0, 1.167301051091E2)); #1548=CARTESIAN_POINT('',(-1.921133832928E1,-5.586435918826E0, 1.232337651758E2)); #1549=CARTESIAN_POINT('',(-1.898899858096E1,-6.352201937568E0, 1.280946610145E2)); #1550=CARTESIAN_POINT('',(-1.880842495226E1,-6.994691989133E0, 1.321310905862E2)); #1551=CARTESIAN_POINT('',(-1.866331472015E1,-7.493531794434E0, 1.353526978188E2)); #1552=CARTESIAN_POINT('',(-1.856773734248E1,-7.874608528668E0, 1.376227534846E2)); #1553=CARTESIAN_POINT('',(-1.846153392329E1,-8.143536113876E0, 1.397533785893E2)); #1554=CARTESIAN_POINT('',(-1.827820656217E1,-8.232516762529E0, 1.424132196791E2)); #1555=CARTESIAN_POINT('',(-1.796549707831E1,-7.959570024376E0, 1.456987380945E2)); #1556=CARTESIAN_POINT('',(-1.744475252646E1,-7.025816413634E0, 1.494923175902E2)); #1557=CARTESIAN_POINT('',(-1.688638222922E1,-5.779902459105E0, 1.524566772004E2)); #1558=CARTESIAN_POINT('',(-1.636967273688E1,-4.535634106240E0, 1.546790932690E2)); #1559=CARTESIAN_POINT('',(-1.594348271308E1,-3.473184127358E0, 1.562491824851E2)); #1560=CARTESIAN_POINT('',(-1.550994336119E1,-2.387235770139E0, 1.578029629733E2)); #1561=CARTESIAN_POINT('',(-1.484047030207E1,-7.088947429078E-1, 1.602262110060E2)); #1562=CARTESIAN_POINT('',(-1.413553247386E1,1.065395465903E0,1.627585030691E2)); #1563=CARTESIAN_POINT('',(-1.357191371654E1,2.486941954193E0,1.647776606471E2)); #1564=CARTESIAN_POINT('',(-1.339421380083E1,2.935341087126E0,1.654134799865E2)); #1565=CARTESIAN_POINT('',(-2.223834590120E1,-5.428857590544E1, 1.424362340530E1)); #1566=CARTESIAN_POINT('',(-2.227528641752E1,-5.292655902461E1, 1.465799651960E1)); #1567=CARTESIAN_POINT('',(-2.236675250013E1,-4.953892914955E1, 1.568253588153E1)); #1568=CARTESIAN_POINT('',(-2.253874550883E1,-4.312731782557E1, 1.759241053502E1)); #1569=CARTESIAN_POINT('',(-2.271714774946E1,-3.655033184107E1, 1.948975381125E1)); #1570=CARTESIAN_POINT('',(-2.288009883106E1,-3.045305851600E1, 2.124369882694E1)); #1571=CARTESIAN_POINT('',(-2.300031323975E1,-2.585847886358E1, 2.256729041211E1)); #1572=CARTESIAN_POINT('',(-2.308503016039E1,-2.200192873724E1, 2.367331637034E1)); #1573=CARTESIAN_POINT('',(-2.314189205279E1,-1.889856261713E1, 2.457377165839E1)); #1574=CARTESIAN_POINT('',(-2.318090068642E1,-1.650127647806E1, 2.524008665614E1)); #1575=CARTESIAN_POINT('',(-2.320755894310E1,-1.406715732729E1, 2.603369901227E1)); #1576=CARTESIAN_POINT('',(-2.321499157074E1,-1.083994599918E1, 2.745559176406E1)); #1577=CARTESIAN_POINT('',(-2.317478489947E1,-7.026308735282E0, 2.977518799658E1)); #1578=CARTESIAN_POINT('',(-2.304750612013E1,-2.990717397012E0, 3.338174180751E1)); #1579=CARTESIAN_POINT('',(-2.284739906282E1,3.349030507374E-1, 3.768084776840E1)); #1580=CARTESIAN_POINT('',(-2.258759168583E1,2.817460158429E0,4.251215561943E1)); #1581=CARTESIAN_POINT('',(-2.229006729213E1,4.371853079087E0,4.768665292189E1)); #1582=CARTESIAN_POINT('',(-2.203543173245E1,4.858120483150E0,5.211236896711E1)); #1583=CARTESIAN_POINT('',(-2.184251115973E1,4.781767919967E0,5.562863673734E1)); #1584=CARTESIAN_POINT('',(-2.170926223618E1,4.467202920346E0,5.820899564365E1)); #1585=CARTESIAN_POINT('',(-2.158324298922E1,4.041022453809E0,6.075317639284E1)); #1586=CARTESIAN_POINT('',(-2.141505571035E1,3.517619199420E0,6.414161457588E1)); #1587=CARTESIAN_POINT('',(-2.120835185808E1,2.847081745205E0,6.836500678680E1)); #1588=CARTESIAN_POINT('',(-2.096356322854E1,2.052833476946E0,7.342063357586E1)); #1589=CARTESIAN_POINT('',(-2.064142587965E1,9.941054081249E-1, 8.014224823260E1)); #1590=CARTESIAN_POINT('',(-2.024461870990E1,-3.226805439773E-1, 8.850923885524E1)); #1591=CARTESIAN_POINT('',(-1.977521737237E1,-1.894006694436E0, 9.849288459454E1)); #1592=CARTESIAN_POINT('',(-1.931537934616E1,-3.456158347718E0, 1.084197973875E2)); #1593=CARTESIAN_POINT('',(-1.894158493248E1,-4.750051946353E0, 1.166448483232E2)); #1594=CARTESIAN_POINT('',(-1.864944644757E1,-5.781252849371E0, 1.231963496363E2)); #1595=CARTESIAN_POINT('',(-1.843360969330E1,-6.550408854687E0, 1.280958419791E2)); #1596=CARTESIAN_POINT('',(-1.825831743931E1,-7.195968348757E0, 1.321664119432E2)); #1597=CARTESIAN_POINT('',(-1.811745141941E1,-7.697515346001E0, 1.354166524314E2)); #1598=CARTESIAN_POINT('',(-1.802466945100E1,-8.080824501609E0, 1.377069007935E2)); #1599=CARTESIAN_POINT('',(-1.792157231184E1,-8.350564918668E0, 1.398586760967E2)); #1600=CARTESIAN_POINT('',(-1.774360669303E1,-8.435265478234E0, 1.425488363730E2)); #1601=CARTESIAN_POINT('',(-1.744004334495E1,-8.146534953755E0, 1.458772670980E2)); #1602=CARTESIAN_POINT('',(-1.693452966676E1,-7.172673804237E0, 1.497240894134E2)); #1603=CARTESIAN_POINT('',(-1.639249053483E1,-5.875890331053E0, 1.527266062909E2)); #1604=CARTESIAN_POINT('',(-1.589089368682E1,-4.579618906114E0, 1.549704442217E2)); #1605=CARTESIAN_POINT('',(-1.547716885426E1,-3.478491283153E0, 1.565568848317E2)); #1606=CARTESIAN_POINT('',(-1.505630961812E1,-2.353645139553E0, 1.581261340511E2)); #1607=CARTESIAN_POINT('',(-1.440641727127E1,-6.094927212377E-1, 1.605685354409E2)); #1608=CARTESIAN_POINT('',(-1.372209741201E1,1.240084495274E0,1.631125076467E2)); #1609=CARTESIAN_POINT('',(-1.317496333739E1,2.725466049903E0,1.651320381982E2)); #1610=CARTESIAN_POINT('',(-1.300246077669E1,3.194229584992E0,1.657670419386E2)); #1611=CARTESIAN_POINT('',(-1.982679845552E1,-5.462871803652E1, 1.411426481066E1)); #1612=CARTESIAN_POINT('',(-1.985973310701E1,-5.326845777467E1, 1.457104053468E1)); #1613=CARTESIAN_POINT('',(-1.994128052036E1,-4.987120181803E1, 1.569406932826E1)); #1614=CARTESIAN_POINT('',(-2.009462244311E1,-4.339017744510E1, 1.775244732368E1)); #1615=CARTESIAN_POINT('',(-2.025367857456E1,-3.669142947118E1, 1.970502939216E1)); #1616=CARTESIAN_POINT('',(-2.039895908541E1,-3.050648957579E1, 2.149480261862E1)); #1617=CARTESIAN_POINT('',(-2.050613732893E1,-2.586942623830E1, 2.283952848361E1)); #1618=CARTESIAN_POINT('',(-2.058166746587E1,-2.200475711705E1, 2.395463280707E1)); #1619=CARTESIAN_POINT('',(-2.063236320041E1,-1.891661688183E1, 2.485659148461E1)); #1620=CARTESIAN_POINT('',(-2.066714170060E1,-1.654262530606E1, 2.552001732945E1)); #1621=CARTESIAN_POINT('',(-2.069090911048E1,-1.414836572868E1, 2.630691943847E1)); #1622=CARTESIAN_POINT('',(-2.069753573693E1,-1.099868429937E1, 2.770774017488E1)); #1623=CARTESIAN_POINT('',(-2.066168911540E1,-7.309967623840E0, 2.997202945641E1)); #1624=CARTESIAN_POINT('',(-2.054821256850E1,-3.435440598787E0, 3.345514396585E1)); #1625=CARTESIAN_POINT('',(-2.036980531121E1,-2.488419407978E-1, 3.758219113203E1)); #1626=CARTESIAN_POINT('',(-2.013817169454E1,2.139409817953E0,4.221455871992E1)); #1627=CARTESIAN_POINT('',(-1.987291112961E1,3.653121681017E0,4.719319107463E1)); #1628=CARTESIAN_POINT('',(-1.964588849285E1,4.143707607110E0,5.148625961400E1)); #1629=CARTESIAN_POINT('',(-1.947388841133E1,4.081628576046E0,5.492672066259E1)); #1630=CARTESIAN_POINT('',(-1.935508912706E1,3.777494831365E0,5.747133632762E1)); #1631=CARTESIAN_POINT('',(-1.924273553133E1,3.359289923935E0,5.999149070137E1)); #1632=CARTESIAN_POINT('',(-1.909278655953E1,2.845614759573E0,6.335176330057E1)); #1633=CARTESIAN_POINT('',(-1.890849779651E1,2.185975642945E0,6.755219793146E1)); #1634=CARTESIAN_POINT('',(-1.869025417090E1,1.401850649680E0,7.259739474457E1)); #1635=CARTESIAN_POINT('',(-1.840304965598E1,3.533344581215E-1, 7.932756439004E1)); #1636=CARTESIAN_POINT('',(-1.804927323248E1,-9.567972760434E-1, 8.774522064510E1)); #1637=CARTESIAN_POINT('',(-1.763077432948E1,-2.528955127700E0, 9.784775128903E1)); #1638=CARTESIAN_POINT('',(-1.722080128825E1,-4.099863484578E0, 1.079455866438E2)); #1639=CARTESIAN_POINT('',(-1.688754140830E1,-5.406915711215E0, 1.163514540978E2)); #1640=CARTESIAN_POINT('',(-1.662708265469E1,-6.451676464520E0, 1.230675915200E2)); #1641=CARTESIAN_POINT('',(-1.643465150064E1,-7.232498445806E0, 1.280999060352E2)); #1642=CARTESIAN_POINT('',(-1.627836800386E1,-7.888620787431E0, 1.322879633480E2)); #1643=CARTESIAN_POINT('',(-1.615277775958E1,-8.399484077193E0, 1.356367394812E2)); #1644=CARTESIAN_POINT('',(-1.607005705063E1,-8.790475637352E0, 1.379964769705E2)); #1645=CARTESIAN_POINT('',(-1.597814003282E1,-9.063013301102E0, 1.402210364900E2)); #1646=CARTESIAN_POINT('',(-1.581947248539E1,-9.132984685464E0, 1.430155343746E2)); #1647=CARTESIAN_POINT('',(-1.554882794073E1,-8.789937467602E0, 1.464916392502E2)); #1648=CARTESIAN_POINT('',(-1.509813323692E1,-7.678054228192E0, 1.505216859149E2)); #1649=CARTESIAN_POINT('',(-1.461487331197E1,-6.206213466739E0, 1.536555133799E2)); #1650=CARTESIAN_POINT('',(-1.416766997377E1,-4.730983818810E0, 1.559730704225E2)); #1651=CARTESIAN_POINT('',(-1.379880990890E1,-3.496754804051E0, 1.576157811097E2)); #1652=CARTESIAN_POINT('',(-1.342358900661E1,-2.238049678421E0, 1.592382628712E2)); #1653=CARTESIAN_POINT('',(-1.284417164412E1,-2.674204868012E-1, 1.617465767266E2)); #1654=CARTESIAN_POINT('',(-1.223406007272E1,1.841241955630E0,1.643307438099E2)); #1655=CARTESIAN_POINT('',(-1.174625774214E1,3.546299161449E0,1.663515578745E2)); #1656=CARTESIAN_POINT('',(-1.159246152493E1,4.085142725561E0,1.669837548932E2)); #1657=CARTESIAN_POINT('',(-1.219067570688E1,-5.552789736836E1, 1.377230019037E1)); #1658=CARTESIAN_POINT('',(-1.221092585754E1,-5.417228079909E1, 1.434116890961E1)); #1659=CARTESIAN_POINT('',(-1.226106597841E1,-5.074957791063E1, 1.572455845614E1)); #1660=CARTESIAN_POINT('',(-1.235534956417E1,-4.408505736575E1, 1.817551097722E1)); #1661=CARTESIAN_POINT('',(-1.245314657976E1,-3.706442670138E1, 2.027411900302E1)); #1662=CARTESIAN_POINT('',(-1.254247353783E1,-3.064773671999E1, 2.215860553803E1)); #1663=CARTESIAN_POINT('',(-1.260837299268E1,-2.589836606134E1, 2.355920071727E1)); #1664=CARTESIAN_POINT('',(-1.265481333995E1,-2.201223405222E1, 2.469830406242E1)); #1665=CARTESIAN_POINT('',(-1.268598404362E1,-1.896434405286E1, 2.560423701025E1)); #1666=CARTESIAN_POINT('',(-1.270736790035E1,-1.665193258713E1, 2.626002526374E1)); #1667=CARTESIAN_POINT('',(-1.272198149448E1,-1.436304338682E1, 2.702918856613E1)); #1668=CARTESIAN_POINT('',(-1.272605593222E1,-1.141831534734E1, 2.837430458628E1)); #1669=CARTESIAN_POINT('',(-1.270401533190E1,-8.059831244801E0, 3.049238773055E1)); #1670=CARTESIAN_POINT('',(-1.263424331209E1,-4.611084176698E0, 3.364918550609E1)); #1671=CARTESIAN_POINT('',(-1.252454809168E1,-1.791995180565E0, 3.732138836688E1)); #1672=CARTESIAN_POINT('',(-1.238212619309E1,3.469566144199E-1, 4.142784940529E1)); #1673=CARTESIAN_POINT('',(-1.221902847720E1,1.753126472737E0,4.588870493810E1)); #1674=CARTESIAN_POINT('',(-1.207944167733E1,2.255128595034E0,4.983111448839E1)); #1675=CARTESIAN_POINT('',(-1.197368596453E1,2.230782196391E0,5.307117743562E1)); #1676=CARTESIAN_POINT('',(-1.190064121114E1,1.954223951692E0,5.552130441716E1)); #1677=CARTESIAN_POINT('',(-1.183155965659E1,1.557102531909E0,5.797794408947E1)); #1678=CARTESIAN_POINT('',(-1.173936205157E1,1.069144355721E0,6.126375986916E1)); #1679=CARTESIAN_POINT('',(-1.162605035427E1,4.383150798775E-1, 6.540350519108E1)); #1680=CARTESIAN_POINT('',(-1.149186113390E1,-3.190484548599E-1, 7.042112995831E1)); #1681=CARTESIAN_POINT('',(-1.131527087626E1,-1.340569220172E0, 7.717391505524E1)); #1682=CARTESIAN_POINT('',(-1.109774992232E1,-2.633110217907E0, 8.572550796386E1)); #1683=CARTESIAN_POINT('',(-1.084043257459E1,-4.207466724546E0, 9.614231561879E1)); #1684=CARTESIAN_POINT('',(-1.058835644190E1,-5.801523761310E0,1.066919916E2)); #1685=CARTESIAN_POINT('',(-1.038344854759E1,-7.143361388240E0, 1.155758547481E2)); #1686=CARTESIAN_POINT('',(-1.022330315572E1,-8.223968078354E0, 1.227272143071E2)); #1687=CARTESIAN_POINT('',(-1.010498536468E1,-9.035629690839E0, 1.281106495519E2)); #1688=CARTESIAN_POINT('',(-1.000889287294E1,-9.719675023733E0, 1.326092893278E2)); #1689=CARTESIAN_POINT('',(-9.931672966652E0,-1.025516667843E1, 1.362185484465E2)); #1690=CARTESIAN_POINT('',(-9.880811171721E0,-1.066646664332E1, 1.387619830994E2)); #1691=CARTESIAN_POINT('',(-9.824295632765E0,-1.094639951231E1, 1.411789509154E2)); #1692=CARTESIAN_POINT('',(-9.726735822648E0,-1.097743251574E1, 1.442492715095E2)); #1693=CARTESIAN_POINT('',(-9.560327981746E0,-1.049079765882E1, 1.481157588422E2)); #1694=CARTESIAN_POINT('',(-9.283215975296E0,-9.014047585919E0, 1.526301640500E2)); #1695=CARTESIAN_POINT('',(-8.986079415404E0,-7.079436073695E0, 1.561111158436E2)); #1696=CARTESIAN_POINT('',(-8.711112312281E0,-5.131122960788E0, 1.586235528400E2)); #1697=CARTESIAN_POINT('',(-8.484315693171E0,-3.545035182328E0, 1.604150159190E2)); #1698=CARTESIAN_POINT('',(-8.253607821529E0,-1.932468401298E0, 1.621782198944E2)); #1699=CARTESIAN_POINT('',(-7.897348222071E0,6.368611336331E-1, 1.648607760646E2)); #1700=CARTESIAN_POINT('',(-7.522215905591E0,3.430425758576E0,1.675511998610E2)); #1701=CARTESIAN_POINT('',(-7.222286500154E0,5.716204333631E0,1.695754069325E2)); #1702=CARTESIAN_POINT('',(-7.127723590642E0,6.440307256591E0,1.702001842672E2)); #1703=CARTESIAN_POINT('',(-1.243449787580E-14,-5.614310881594E1, 1.353833067881E1)); #1704=CARTESIAN_POINT('',(-1.421085471520E-14,-5.479066942471E1, 1.418389254636E1)); #1705=CARTESIAN_POINT('',(-2.042810365310E-14,-5.135055594506E1, 1.574541887834E1)); #1706=CARTESIAN_POINT('',(-1.101341240428E-13,-4.456048875828E1, 1.846496781135E1)); #1707=CARTESIAN_POINT('',(-8.615330671091E-14,-3.731962848128E1, 2.066348565204E1)); #1708=CARTESIAN_POINT('',(-2.957634137601E-13,-3.074437690839E1, 2.261277429003E1)); #1709=CARTESIAN_POINT('',(1.261213355974E-13,-2.591816646131E1, 2.405159481595E1)); #1710=CARTESIAN_POINT('',(-1.111111203045E-12,-2.201734971261E1, 2.520711810353E1)); #1711=CARTESIAN_POINT('',(1.493916101936E-12,-1.899699860904E1, 2.611577021597E1)); #1712=CARTESIAN_POINT('',(-5.232259070453E-12,-1.672671977154E1, 2.676633288923E1)); #1713=CARTESIAN_POINT('',(2.071232074741E-11,-1.450992415754E1, 2.752335943965E1)); #1714=CARTESIAN_POINT('',(-3.701217110574E-11,-1.170542362327E1, 2.883036272654E1)); #1715=CARTESIAN_POINT('',(2.824229738962E-11,-8.572882056595E0, 3.084841278055E1)); #1716=CARTESIAN_POINT('',(-2.576836521939E-10,-5.415450302357E0, 3.378194719512E1)); #1717=CARTESIAN_POINT('',(3.732836262316E-11,-2.847808527534E0, 3.714294916086E1)); #1718=CARTESIAN_POINT('',(-7.462599427299E-10,-8.794258176975E-1, 4.088958903628E1)); #1719=CARTESIAN_POINT('',(-2.163131895827E-10,4.531646363759E-1, 4.499618574783E1)); #1720=CARTESIAN_POINT('',(-5.483080656177E-11,9.629776882871E-1, 4.869867716506E1)); #1721=CARTESIAN_POINT('',(-4.398374997550E-10,9.644475460136E-1, 5.180162930391E1)); #1722=CARTESIAN_POINT('',(1.311840414076E-9,7.067565747806E-1, 5.418710789593E1)); #1723=CARTESIAN_POINT('',(-4.771752770694E-9,3.240590859736E-1, 5.660029121515E1)); #1724=CARTESIAN_POINT('',(1.240755365117E-7,-1.463015577816E-1, 5.983516437876E1)); #1725=CARTESIAN_POINT('',(2.399827252475E-7,-7.574213034029E-1, 6.393338639842E1)); #1726=CARTESIAN_POINT('',(4.107939002296E-7,-1.496473885477E0, 6.893214665891E1)); #1727=CARTESIAN_POINT('',(4.854734667603E-7,-2.499525032938E0, 7.570040505096E1)); #1728=CARTESIAN_POINT('',(-4.554401824564E-7,-3.780030337676E0, 8.434363651813E1)); #1729=CARTESIAN_POINT('',(-6.216412060667E-7,-5.355891255028E0, 9.497547009073E1)); #1730=CARTESIAN_POINT('',(-1.666260658340E-7,-6.965786346410E0, 1.058342918642E2)); #1731=CARTESIAN_POINT('',(-2.647492891583E-8,-8.331424096379E0, 1.150451958853E2)); #1732=CARTESIAN_POINT('',(4.385661878104E-8,-9.436555909103E0, 1.224943309926E2)); #1733=CARTESIAN_POINT('',(-4.528090524758E-8,-1.026931862262E1, 1.281180003025E2)); #1734=CARTESIAN_POINT('',(1.152367339330E-7,-1.097246688595E1, 1.328291379232E2)); #1735=CARTESIAN_POINT('',(-8.930238815097E-8,-1.152481113485E1, 1.366166178219E2)); #1736=CARTESIAN_POINT('',(7.698099890874E-8,-1.195000407813E1, 1.392857359469E2)); #1737=CARTESIAN_POINT('',(-1.891819589872E-7,-1.223499984653E1, 1.418343497147E2)); #1738=CARTESIAN_POINT('',(8.963033932829E-7,-1.223938479255E1, 1.450933974664E2)); #1739=CARTESIAN_POINT('',(7.321669088789E-7,-1.165451233745E1, 1.492269810388E2)); #1740=CARTESIAN_POINT('',(-1.940138645296E-7,-9.928123697364E0, 1.540727675568E2)); #1741=CARTESIAN_POINT('',(-1.411495893500E-7,-7.676889140444E0, 1.577912165363E2)); #1742=CARTESIAN_POINT('',(6.477336578214E-8,-5.404894689624E0, 1.604369918091E2)); #1743=CARTESIAN_POINT('',(-1.723725340241E-8,-3.578068404807E0, 1.623302311651E2)); #1744=CARTESIAN_POINT('',(5.076738451848E-8,-1.723391806676E0, 1.641897153979E2)); #1745=CARTESIAN_POINT('',(-9.397815414758E-9,1.255563433918E0, 1.669914872079E2)); #1746=CARTESIAN_POINT('',(7.199008056347E-9,4.517732884718E0,1.697546107178E2)); #1747=CARTESIAN_POINT('',(2.464013437731E-9,7.200836447722E0,1.717811391955E2)); #1748=CARTESIAN_POINT('',(5.296794114429E-10,8.051692362250E0, 1.724008400258E2)); #1749=CARTESIAN_POINT('',(1.219067570688E1,-5.552789736836E1,1.377230019037E1)); #1750=CARTESIAN_POINT('',(1.221092585754E1,-5.417228079909E1,1.434116890961E1)); #1751=CARTESIAN_POINT('',(1.226106597841E1,-5.074957791063E1,1.572455845614E1)); #1752=CARTESIAN_POINT('',(1.235534956417E1,-4.408505736574E1,1.817551097722E1)); #1753=CARTESIAN_POINT('',(1.245314657976E1,-3.706442670135E1,2.027411900305E1)); #1754=CARTESIAN_POINT('',(1.254247353783E1,-3.064773671994E1,2.215860553804E1)); #1755=CARTESIAN_POINT('',(1.260837299268E1,-2.589836606131E1,2.355920071730E1)); #1756=CARTESIAN_POINT('',(1.265481333996E1,-2.201223405212E1,2.469830406236E1)); #1757=CARTESIAN_POINT('',(1.268598404362E1,-1.896434405296E1,2.560423701036E1)); #1758=CARTESIAN_POINT('',(1.270736790037E1,-1.665193258669E1,2.626002526344E1)); #1759=CARTESIAN_POINT('',(1.272198149442E1,-1.436304338859E1,2.702918856727E1)); #1760=CARTESIAN_POINT('',(1.272605593231E1,-1.141831535998E1,2.837430457617E1)); #1761=CARTESIAN_POINT('',(1.270401533183E1,-8.059831254195E0,3.049238772851E1)); #1762=CARTESIAN_POINT('',(1.263424331278E1,-4.611084207933E0,3.364918546707E1)); #1763=CARTESIAN_POINT('',(1.252454809158E1,-1.791995186040E0,3.732138836934E1)); #1764=CARTESIAN_POINT('',(1.238212619508E1,3.469565917126E-1,4.142784931699E1)); #1765=CARTESIAN_POINT('',(1.221902847777E1,1.753126422280E0,4.588870491956E1)); #1766=CARTESIAN_POINT('',(1.207944167748E1,2.255128746509E0,4.983111446089E1)); #1767=CARTESIAN_POINT('',(1.197368596570E1,2.230781945936E0,5.307117741183E1)); #1768=CARTESIAN_POINT('',(1.190064120764E1,1.954224865415E0,5.552130448701E1)); #1769=CARTESIAN_POINT('',(1.183155966931E1,1.557099154852E0,5.797794383584E1)); #1770=CARTESIAN_POINT('',(1.173936172071E1,1.069148576443E0,6.126376016128E1)); #1771=CARTESIAN_POINT('',(1.162604971432E1,4.383125213840E-1,6.540350494781E1)); #1772=CARTESIAN_POINT('',(1.149186003845E1,-3.190476092580E-1, 7.042112996295E1)); #1773=CARTESIAN_POINT('',(1.131526958167E1,-1.340569918390E0,7.717391499189E1)); #1774=CARTESIAN_POINT('',(1.109775113683E1,-2.633110044355E0,8.572550842729E1)); #1775=CARTESIAN_POINT('',(1.084043423230E1,-4.207466929751E0,9.614231626164E1)); #1776=CARTESIAN_POINT('',(1.058835688623E1,-5.801523649309E0,1.066919921690E2)); #1777=CARTESIAN_POINT('',(1.038344861819E1,-7.143362063532E0,1.155758552031E2)); #1778=CARTESIAN_POINT('',(1.022330303877E1,-8.223967401758E0,1.227272146758E2)); #1779=CARTESIAN_POINT('',(1.010498548543E1,-9.035632049465E0,1.281106499745E2)); #1780=CARTESIAN_POINT('',(1.000889256565E1,-9.719671218100E0,1.326092889070E2)); #1781=CARTESIAN_POINT('',(9.931673204792E0,-1.025517008295E1,1.362185492997E2)); #1782=CARTESIAN_POINT('',(9.880810966439E0,-1.066646349280E1,1.387619815490E2)); #1783=CARTESIAN_POINT('',(9.824296137250E0,-1.094640741469E1,1.411789553298E2)); #1784=CARTESIAN_POINT('',(9.726733432505E0,-1.097741688930E1,1.442493159035E2)); #1785=CARTESIAN_POINT('',(9.560326029301E0,-1.049079581700E1,1.481158019196E2)); #1786=CARTESIAN_POINT('',(9.283216492666E0,-9.014047350742E0,1.526301616040E2)); #1787=CARTESIAN_POINT('',(8.986079791803E0,-7.079439597454E0,1.561111044461E2)); #1788=CARTESIAN_POINT('',(8.711112139552E0,-5.131121790890E0,1.586235517335E2)); #1789=CARTESIAN_POINT('',(8.484315739137E0,-3.545035764276E0,1.604150185125E2)); #1790=CARTESIAN_POINT('',(8.253607686149E0,-1.932467417273E0,1.621782197650E2)); #1791=CARTESIAN_POINT('',(7.897348247132E0,6.368608076573E-1,1.648607773856E2)); #1792=CARTESIAN_POINT('',(7.522215886393E0,3.430425864897E0,1.675512001448E2)); #1793=CARTESIAN_POINT('',(7.222286493584E0,5.716204373706E0,1.695754069977E2)); #1794=CARTESIAN_POINT('',(7.127723589230E0,6.440307265265E0,1.702001842807E2)); #1795=CARTESIAN_POINT('',(1.982773614822E1,-5.462860762003E1,1.411430680288E1)); #1796=CARTESIAN_POINT('',(1.986067235733E1,-5.326834678795E1,1.457106876222E1)); #1797=CARTESIAN_POINT('',(1.994222362740E1,-4.987109395610E1,1.569406558429E1)); #1798=CARTESIAN_POINT('',(2.009557280234E1,-4.339009211592E1,1.775239537274E1)); #1799=CARTESIAN_POINT('',(2.025463645623E1,-3.669138366820E1,1.970495950972E1)); #1800=CARTESIAN_POINT('',(2.039992383800E1,-3.050647223098E1,2.149472110565E1)); #1801=CARTESIAN_POINT('',(2.050710715043E1,-2.586942268454E1,2.283944011010E1)); #1802=CARTESIAN_POINT('',(2.058264085952E1,-2.200475619874E1,2.395454148640E1)); #1803=CARTESIAN_POINT('',(2.063333899165E1,-1.891661102123E1,2.485649967618E1)); #1804=CARTESIAN_POINT('',(2.066811913672E1,-1.654261188274E1,2.551992645824E1)); #1805=CARTESIAN_POINT('',(2.069188767042E1,-1.414833936980E1,2.630683074786E1)); #1806=CARTESIAN_POINT('',(2.069851461081E1,-1.099863279051E1,2.770765830636E1)); #1807=CARTESIAN_POINT('',(2.066266629333E1,-7.309875558142E0,2.997196555466E1)); #1808=CARTESIAN_POINT('',(2.054918438236E1,-3.435296284125E0,3.345512007467E1)); #1809=CARTESIAN_POINT('',(2.037076868464E1,-2.486524551663E-1, 3.758222316182E1)); #1810=CARTESIAN_POINT('',(2.013912412048E1,2.139629888836E0,4.221465518183E1)); #1811=CARTESIAN_POINT('',(1.987385100523E1,3.653354912598E0,4.719335123141E1)); #1812=CARTESIAN_POINT('',(1.964681763008E1,4.143939765251E0,5.148646281608E1)); #1813=CARTESIAN_POINT('',(1.947480941761E1,4.081855447009E0,5.492694847896E1)); #1814=CARTESIAN_POINT('',(1.935600449820E1,3.777720209637E0,5.747157589923E1)); #1815=CARTESIAN_POINT('',(1.924364564652E1,3.359505734407E0,5.999173754627E1)); #1816=CARTESIAN_POINT('',(1.909368836050E1,2.845839769615E0,6.335202017616E1)); #1817=CARTESIAN_POINT('',(1.890938978196E1,2.186186089054E0,6.755246138875E1)); #1818=CARTESIAN_POINT('',(1.869113421403E1,1.402063346213E0,7.259766199083E1)); #1819=CARTESIAN_POINT('',(1.840391540744E1,3.535413287245E-1,7.932782874860E1)); #1820=CARTESIAN_POINT('',(1.805013117976E1,-9.565911476219E-1, 8.774546941346E1)); #1821=CARTESIAN_POINT('',(1.763161406110E1,-2.528749345327E0,9.784796175693E1)); #1822=CARTESIAN_POINT('',(1.722161731334E1,-4.099654343694E0,1.079457415069E2)); #1823=CARTESIAN_POINT('',(1.688834034237E1,-5.406703579294E0,1.163515500789E2)); #1824=CARTESIAN_POINT('',(1.662786860326E1,-6.451457732026E0,1.230676339169E2)); #1825=CARTESIAN_POINT('',(1.643542919407E1,-7.232280862987E0,1.280999054033E2)); #1826=CARTESIAN_POINT('',(1.627913678299E1,-7.888389749789E0,1.322879232057E2)); #1827=CARTESIAN_POINT('',(1.615354253970E1,-8.399261742339E0,1.356366694244E2)); #1828=CARTESIAN_POINT('',(1.607081634084E1,-8.790240147130E0,1.379963804469E2)); #1829=CARTESIAN_POINT('',(1.597889750124E1,-9.062794879949E0,1.402209260408E2)); #1830=CARTESIAN_POINT('',(1.582021215066E1,-9.132732776972E0,1.430154550808E2)); #1831=CARTESIAN_POINT('',(1.554955636341E1,-8.789725611467E0,1.464915098771E2)); #1832=CARTESIAN_POINT('',(1.509884913196E1,-7.677889789753E0,1.505214230217E2)); #1833=CARTESIAN_POINT('',(1.461556585004E1,-6.206111968931E0,1.536551933017E2)); #1834=CARTESIAN_POINT('',(1.416833940791E1,-4.730932780136E0,1.559727431516E2)); #1835=CARTESIAN_POINT('',(1.379946267622E1,-3.496749821886E0,1.576154415905E2)); #1836=CARTESIAN_POINT('',(1.342422338292E1,-2.238085602390E0,1.592379016429E2)); #1837=CARTESIAN_POINT('',(1.284477918820E1,-2.675320600297E-1, 1.617461964609E2)); #1838=CARTESIAN_POINT('',(1.223463860458E1,1.841046981608E0,1.643303488093E2)); #1839=CARTESIAN_POINT('',(1.174681324870E1,3.546032768858E0,1.663511621017E2)); #1840=CARTESIAN_POINT('',(1.159300977618E1,4.084853532609E0,1.669833599474E2)); #1841=CARTESIAN_POINT('',(2.224022128661E1,-5.428831137900E1,1.424372400668E1)); #1842=CARTESIAN_POINT('',(2.227716491817E1,-5.292629313205E1,1.465806414474E1)); #1843=CARTESIAN_POINT('',(2.236863871422E1,-4.953867074314E1,1.568252691204E1)); #1844=CARTESIAN_POINT('',(2.254064622729E1,-4.312711340123E1,1.759228607539E1)); #1845=CARTESIAN_POINT('',(2.271906351279E1,-3.655022211025E1,1.948958639279E1)); #1846=CARTESIAN_POINT('',(2.288202833625E1,-3.045301696289E1,2.124350354508E1)); #1847=CARTESIAN_POINT('',(2.300225288274E1,-2.585847034983E1,2.256707869430E1)); #1848=CARTESIAN_POINT('',(2.308697694768E1,-2.200192653744E1,2.367309759216E1)); #1849=CARTESIAN_POINT('',(2.314384363527E1,-1.889854857662E1,2.457355171134E1)); #1850=CARTESIAN_POINT('',(2.318285555863E1,-1.650124432053E1,2.523986895524E1)); #1851=CARTESIAN_POINT('',(2.320951606312E1,-1.406709417525E1,2.603348653250E1)); #1852=CARTESIAN_POINT('',(2.321694931826E1,-1.083982257244E1,2.745539565136E1)); #1853=CARTESIAN_POINT('',(2.317673925553E1,-7.026088152647E0,2.977503491045E1)); #1854=CARTESIAN_POINT('',(2.304944974607E1,-2.990371595495E0,3.338168465191E1)); #1855=CARTESIAN_POINT('',(2.284932580994E1,3.353570156417E-1,3.768092449754E1)); #1856=CARTESIAN_POINT('',(2.258949653255E1,2.817987432585E0,4.251238689763E1)); #1857=CARTESIAN_POINT('',(2.229194704188E1,4.372411940027E0,4.768703665031E1)); #1858=CARTESIAN_POINT('',(2.203729000654E1,4.858676353997E0,5.211285583834E1)); #1859=CARTESIAN_POINT('',(2.184435316925E1,4.782311957111E0,5.562918256975E1)); #1860=CARTESIAN_POINT('',(2.171109298752E1,4.467740969049E0,5.820956944420E1)); #1861=CARTESIAN_POINT('',(2.158506318663E1,4.041546472030E0,6.075376828842E1)); #1862=CARTESIAN_POINT('',(2.141686016928E1,3.518149513272E0,6.414222937128E1)); #1863=CARTESIAN_POINT('',(2.121013748655E1,2.847591215736E0,6.836563845945E1)); #1864=CARTESIAN_POINT('',(2.096532615218E1,2.053341284975E0,7.342127381211E1)); #1865=CARTESIAN_POINT('',(2.064316073577E1,9.946024579504E-1,8.014288169163E1)); #1866=CARTESIAN_POINT('',(2.024633145870E1,-3.221870786685E-1, 8.850983387299E1)); #1867=CARTESIAN_POINT('',(1.977689254190E1,-1.893513273310E0,9.849338748354E1)); #1868=CARTESIAN_POINT('',(1.931701024544E1,-3.455657537860E0,1.084201672164E2)); #1869=CARTESIAN_POINT('',(1.894318261776E1,-4.749542339417E0,1.166450773241E2)); #1870=CARTESIAN_POINT('',(1.865101864764E1,-5.780730230689E0,1.231964504434E2)); #1871=CARTESIAN_POINT('',(1.843516476740E1,-6.549882700794E0,1.280958395895E2)); #1872=CARTESIAN_POINT('',(1.825985579353E1,-7.195422734356E0,1.321663166457E2)); #1873=CARTESIAN_POINT('',(1.811898036282E1,-7.696975640313E0,1.354164828276E2)); #1874=CARTESIAN_POINT('',(1.802618856313E1,-8.080266862590E0,1.377066727633E2)); #1875=CARTESIAN_POINT('',(1.792308594199E1,-8.350025268748E0,1.398584023446E2)); #1876=CARTESIAN_POINT('',(1.774509221440E1,-8.434694357991E0,1.425485544156E2)); #1877=CARTESIAN_POINT('',(1.744150524743E1,-8.146031223421E0,1.458768678933E2)); #1878=CARTESIAN_POINT('',(1.693596011678E1,-7.172280343938E0,1.497234646650E2)); #1879=CARTESIAN_POINT('',(1.639387463604E1,-5.875639869397E0,1.527258630924E2)); #1880=CARTESIAN_POINT('',(1.589223300250E1,-4.579499056233E0,1.549696624668E2)); #1881=CARTESIAN_POINT('',(1.547847426983E1,-3.478478141410E0,1.565560660661E2)); #1882=CARTESIAN_POINT('',(1.505757872140E1,-2.353733242214E0,1.581252689192E2)); #1883=CARTESIAN_POINT('',(1.440763229451E1,-6.097593434104E-1, 1.605676216953E2)); #1884=CARTESIAN_POINT('',(1.372325452546E1,1.239617172779E0,1.631115607497E2)); #1885=CARTESIAN_POINT('',(1.317607436754E1,2.724827766149E0,1.651310899042E2)); #1886=CARTESIAN_POINT('',(1.300355728284E1,3.193536743142E0,1.657660957331E2)); #1887=CARTESIAN_POINT('',(2.291118132402E1,-5.418931974019E1,1.428137126678E1)); #1888=CARTESIAN_POINT('',(2.294923949936E1,-5.282679026404E1,1.468337096405E1)); #1889=CARTESIAN_POINT('',(2.304347294699E1,-4.944196935576E1,1.567917033051E1)); #1890=CARTESIAN_POINT('',(2.322066971451E1,-4.305061330867E1,1.754571053378E1)); #1891=CARTESIAN_POINT('',(2.340446962939E1,-3.650915843609E1,1.942693469339E1)); #1892=CARTESIAN_POINT('',(2.357235090051E1,-3.043746690988E1,2.117042475367E1)); #1893=CARTESIAN_POINT('',(2.369620247325E1,-2.585528433297E1,2.248784918880E1)); #1894=CARTESIAN_POINT('',(2.378348255869E1,-2.200110339344E1,2.359122600805E1)); #1895=CARTESIAN_POINT('',(2.384206484409E1,-1.889329424002E1,2.449124259546E1)); #1896=CARTESIAN_POINT('',(2.388225370905E1,-1.648921056205E1,2.515840067014E1)); #1897=CARTESIAN_POINT('',(2.390971852806E1,-1.404346007654E1,2.595397113217E1)); #1898=CARTESIAN_POINT('',(2.391737603535E1,-1.079362493093E1,2.738201284363E1)); #1899=CARTESIAN_POINT('',(2.387595288462E1,-6.943534844795E0,2.971774809722E1)); #1900=CARTESIAN_POINT('',(2.374482320776E1,-2.860943706568E0,3.336032240351E1)); #1901=CARTESIAN_POINT('',(2.353866177933E1,5.052444479001E-1,3.770963656014E1)); #1902=CARTESIAN_POINT('',(2.327099377335E1,3.015320570202E0,4.259899658342E1)); #1903=CARTESIAN_POINT('',(2.296446758155E1,4.581584493172E0,4.783064895861E1)); #1904=CARTESIAN_POINT('',(2.270212785760E1,5.066592098101E0,5.229507257877E1)); #1905=CARTESIAN_POINT('',(2.250337035404E1,4.986073649409E0,5.583346135717E1)); #1906=CARTESIAN_POINT('',(2.236608987670E1,4.668466916490E0,5.842425060022E1)); #1907=CARTESIAN_POINT('',(2.223625791339E1,4.239951049167E0,6.097544182753E1)); #1908=CARTESIAN_POINT('',(2.206298039360E1,3.713723350034E0,6.437209997714E1)); #1909=CARTESIAN_POINT('',(2.185002112017E1,3.039992925221E0,6.860219038471E1)); #1910=CARTESIAN_POINT('',(2.159782409684E1,2.242797007193E0,7.366086118950E1)); #1911=CARTESIAN_POINT('',(2.126593933284E1,1.181086128370E0,8.037997930496E1)); #1912=CARTESIAN_POINT('',(2.085713838803E1,-1.376399376656E-1, 8.873218628589E1)); #1913=CARTESIAN_POINT('',(2.037353711042E1,-1.708724103451E0,9.868114080674E1)); #1914=CARTESIAN_POINT('',(1.989978064446E1,-3.268319884778E0,1.085581769049E2)); #1915=CARTESIAN_POINT('',(1.951467507136E1,-4.558375172278E0,1.167304639353E2)); #1916=CARTESIAN_POINT('',(1.921369686111E1,-5.585616661237E0,1.232339229669E2)); #1917=CARTESIAN_POINT('',(1.899133095286E1,-6.351374150703E0,1.280946568502E2)); #1918=CARTESIAN_POINT('',(1.881073309249E1,-6.993839729351E0,1.321309414901E2)); #1919=CARTESIAN_POINT('',(1.866560766339E1,-7.492681718627E0,1.353524308934E2)); #1920=CARTESIAN_POINT('',(1.857001641743E1,-7.873736759945E0,1.376223972579E2)); #1921=CARTESIAN_POINT('',(1.846380336889E1,-8.142681705121E0,1.397529447686E2)); #1922=CARTESIAN_POINT('',(1.828043958021E1,-8.231636104654E0,1.424127336937E2)); #1923=CARTESIAN_POINT('',(1.796769380075E1,-7.958781545383E0,1.456980694570E2)); #1924=CARTESIAN_POINT('',(1.744689717633E1,-7.025199355373E0,1.494913398430E2)); #1925=CARTESIAN_POINT('',(1.688845763521E1,-5.779506053373E0,1.524555224173E2)); #1926=CARTESIAN_POINT('',(1.637168205266E1,-4.535447226712E0,1.546778678819E2)); #1927=CARTESIAN_POINT('',(1.594544074536E1,-3.473162937695E0,1.562478953967E2)); #1928=CARTESIAN_POINT('',(1.551184728436E1,-2.387374959146E0,1.578016058181E2)); #1929=CARTESIAN_POINT('',(1.484229278727E1,-7.093127189726E-1, 1.602247761566E2)); #1930=CARTESIAN_POINT('',(1.413726818207E1,1.064662191589E0,1.627570172287E2)); #1931=CARTESIAN_POINT('',(1.357358027478E1,2.485940528415E0,1.647761728297E2)); #1932=CARTESIAN_POINT('',(1.339585856286E1,2.934254097464E0,1.654119954966E2)); #1933=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#1519,#1520,#1521,#1522,#1523,#1524, #1525,#1526,#1527,#1528,#1529,#1530,#1531,#1532,#1533,#1534,#1535,#1536,#1537, #1538,#1539,#1540,#1541,#1542,#1543,#1544,#1545,#1546,#1547,#1548,#1549,#1550, #1551,#1552,#1553,#1554,#1555,#1556,#1557,#1558,#1559,#1560,#1561,#1562,#1563, #1564),(#1565,#1566,#1567,#1568,#1569,#1570,#1571,#1572,#1573,#1574,#1575,#1576, #1577,#1578,#1579,#1580,#1581,#1582,#1583,#1584,#1585,#1586,#1587,#1588,#1589, #1590,#1591,#1592,#1593,#1594,#1595,#1596,#1597,#1598,#1599,#1600,#1601,#1602, #1603,#1604,#1605,#1606,#1607,#1608,#1609,#1610),(#1611,#1612,#1613,#1614,#1615, #1616,#1617,#1618,#1619,#1620,#1621,#1622,#1623,#1624,#1625,#1626,#1627,#1628, #1629,#1630,#1631,#1632,#1633,#1634,#1635,#1636,#1637,#1638,#1639,#1640,#1641, #1642,#1643,#1644,#1645,#1646,#1647,#1648,#1649,#1650,#1651,#1652,#1653,#1654, #1655,#1656),(#1657,#1658,#1659,#1660,#1661,#1662,#1663,#1664,#1665,#1666,#1667, #1668,#1669,#1670,#1671,#1672,#1673,#1674,#1675,#1676,#1677,#1678,#1679,#1680, #1681,#1682,#1683,#1684,#1685,#1686,#1687,#1688,#1689,#1690,#1691,#1692,#1693, #1694,#1695,#1696,#1697,#1698,#1699,#1700,#1701,#1702),(#1703,#1704,#1705,#1706, #1707,#1708,#1709,#1710,#1711,#1712,#1713,#1714,#1715,#1716,#1717,#1718,#1719, #1720,#1721,#1722,#1723,#1724,#1725,#1726,#1727,#1728,#1729,#1730,#1731,#1732, #1733,#1734,#1735,#1736,#1737,#1738,#1739,#1740,#1741,#1742,#1743,#1744,#1745, #1746,#1747,#1748),(#1749,#1750,#1751,#1752,#1753,#1754,#1755,#1756,#1757,#1758, #1759,#1760,#1761,#1762,#1763,#1764,#1765,#1766,#1767,#1768,#1769,#1770,#1771, #1772,#1773,#1774,#1775,#1776,#1777,#1778,#1779,#1780,#1781,#1782,#1783,#1784, #1785,#1786,#1787,#1788,#1789,#1790,#1791,#1792,#1793,#1794),(#1795,#1796,#1797, #1798,#1799,#1800,#1801,#1802,#1803,#1804,#1805,#1806,#1807,#1808,#1809,#1810, #1811,#1812,#1813,#1814,#1815,#1816,#1817,#1818,#1819,#1820,#1821,#1822,#1823, #1824,#1825,#1826,#1827,#1828,#1829,#1830,#1831,#1832,#1833,#1834,#1835,#1836, #1837,#1838,#1839,#1840),(#1841,#1842,#1843,#1844,#1845,#1846,#1847,#1848,#1849, #1850,#1851,#1852,#1853,#1854,#1855,#1856,#1857,#1858,#1859,#1860,#1861,#1862, #1863,#1864,#1865,#1866,#1867,#1868,#1869,#1870,#1871,#1872,#1873,#1874,#1875, #1876,#1877,#1878,#1879,#1880,#1881,#1882,#1883,#1884,#1885,#1886),(#1887,#1888, #1889,#1890,#1891,#1892,#1893,#1894,#1895,#1896,#1897,#1898,#1899,#1900,#1901, #1902,#1903,#1904,#1905,#1906,#1907,#1908,#1909,#1910,#1911,#1912,#1913,#1914, #1915,#1916,#1917,#1918,#1919,#1920,#1921,#1922,#1923,#1924,#1925,#1926,#1927, #1928,#1929,#1930,#1931,#1932)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,1,4),(4,1, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, 1,4),(1.280743372443E-2,5.555555555556E-2,1.666666666667E-1,5.E-1, 8.333333333333E-1,9.444444444444E-1,9.872523920446E-1),(-5.613960035724E-3, 1.648867047342E-2,4.946601142025E-2,9.893202284051E-2,1.236650285506E-1, 1.483980342608E-1,1.731310399709E-1,1.854975428260E-1,1.978640456810E-1, 2.110435575884E-1,2.242230694958E-1,2.505820933105E-1,2.769411171253E-1, 3.033001409401E-1,3.296591647548E-1,3.560181885696E-1,3.823772123844E-1, 3.955567242917E-1,4.087362361991E-1,4.218163807227E-1,4.348965252462E-1, 4.610568142933E-1,4.872171033404E-1,5.133773923875E-1,5.656979704817E-1, 6.180185485758E-1,6.703391266700E-1,7.226597047642E-1,7.488199938113E-1, 7.749802828584E-1,8.011405719055E-1,8.142207164290E-1,8.273008609526E-1, 8.380945571430E-1,8.488882533335E-1,8.704756457144E-1,8.920630380954E-1, 9.136504304763E-1,9.244441266668E-1,9.352378228572E-1,9.460315190477E-1, 9.568252152381E-1,9.856084050794E-1,9.988165970090E-1),.UNSPECIFIED.); #1935=ORIENTED_EDGE('',*,*,#1934,.T.); #1937=ORIENTED_EDGE('',*,*,#1936,.T.); #1939=ORIENTED_EDGE('',*,*,#1938,.T.); #1941=ORIENTED_EDGE('',*,*,#1940,.T.); #1942=EDGE_LOOP('',(#1935,#1937,#1939,#1941)); #1943=FACE_OUTER_BOUND('',#1942,.F.); #1945=ORIENTED_EDGE('',*,*,#1944,.T.); #1947=ORIENTED_EDGE('',*,*,#1946,.T.); #1948=EDGE_LOOP('',(#1945,#1947)); #1949=FACE_BOUND('',#1948,.F.); #1950=ORIENTED_EDGE('',*,*,#1386,.T.); #1951=ORIENTED_EDGE('',*,*,#1513,.T.); #1952=EDGE_LOOP('',(#1950,#1951)); #1953=FACE_BOUND('',#1952,.F.); #1955=CARTESIAN_POINT('',(2.362113438460E1,-3.688458221851E1,1.784873844185E1)); #1956=CARTESIAN_POINT('',(2.345047955840E1,-3.754939376581E1,1.767419216005E1)); #1957=CARTESIAN_POINT('',(2.300703083878E1,-3.900045566899E1,1.729954467044E1)); #1958=CARTESIAN_POINT('',(2.210762316214E1,-4.116028482234E1,1.675656212866E1)); #1959=CARTESIAN_POINT('',(2.094426819554E1,-4.329517711411E1,1.622329535551E1)); #1960=CARTESIAN_POINT('',(1.960417770876E1,-4.525761423168E1,1.572126116833E1)); #1961=CARTESIAN_POINT('',(1.808004886732E1,-4.707994391246E1,1.523207947667E1)); #1962=CARTESIAN_POINT('',(1.637079085926E1,-4.874668298831E1,1.475505583219E1)); #1963=CARTESIAN_POINT('',(1.451735185072E1,-5.022312933349E1,1.429991080869E1)); #1964=CARTESIAN_POINT('',(1.255746115577E1,-5.150179007955E1,1.387588778102E1)); #1965=CARTESIAN_POINT('',(1.046214815547E1,-5.260347291610E1,1.348441860932E1)); #1966=CARTESIAN_POINT('',(8.227556649073E0,-5.351101961853E1,1.314046629116E1)); #1967=CARTESIAN_POINT('',(5.944182850668E0,-5.418593041107E1,1.287061274794E1)); #1968=CARTESIAN_POINT('',(3.590161362063E0,-5.464762845480E1,1.267880830881E1)); #1969=CARTESIAN_POINT('',(1.139667553251E0,-5.488910723457E1,1.257583892596E1)); #1970=CARTESIAN_POINT('',(-1.391747898752E0,-5.487557860009E1, 1.258166154546E1)); #1971=CARTESIAN_POINT('',(-3.819450053328E0,-5.461204834962E1, 1.269380899118E1)); #1972=CARTESIAN_POINT('',(-6.138698577813E0,-5.413625828343E1, 1.289084494612E1)); #1973=CARTESIAN_POINT('',(-8.383499497232E0,-5.345465762331E1, 1.316235019156E1)); #1974=CARTESIAN_POINT('',(-1.057838121201E1,-5.254771706439E1, 1.350476698400E1)); #1975=CARTESIAN_POINT('',(-1.263249552689E1,-5.145606639831E1, 1.389142616837E1)); #1976=CARTESIAN_POINT('',(-1.455309122709E1,-5.019552014102E1, 1.430853070753E1)); #1977=CARTESIAN_POINT('',(-1.637695941784E1,-4.873986691370E1, 1.475692594648E1)); #1978=CARTESIAN_POINT('',(-1.807423304023E1,-4.708601854623E1, 1.523038239806E1)); #1979=CARTESIAN_POINT('',(-1.959917867491E1,-4.526466413989E1, 1.571944602046E1)); #1980=CARTESIAN_POINT('',(-2.094227091025E1,-4.329858818828E1, 1.622243877443E1)); #1981=CARTESIAN_POINT('',(-2.210654097022E1,-4.116244716168E1, 1.675602399648E1)); #1982=CARTESIAN_POINT('',(-2.300632703946E1,-3.900253925265E1, 1.729901292199E1)); #1983=CARTESIAN_POINT('',(-2.345017831937E1,-3.755054334300E1, 1.767389084497E1)); #1984=CARTESIAN_POINT('',(-2.362108788813E1,-3.688476292716E1, 1.784869098846E1)); #1985=CARTESIAN_POINT('',(2.364331115471E1,-3.713197780915E1,1.876026165905E1)); #1986=CARTESIAN_POINT('',(2.347200743614E1,-3.779510258328E1,1.858618900492E1)); #1987=CARTESIAN_POINT('',(2.302683205288E1,-3.924284652930E1,1.821247121910E1)); #1988=CARTESIAN_POINT('',(2.212349243587E1,-4.139964489991E1,1.767036412325E1)); #1989=CARTESIAN_POINT('',(2.095472166781E1,-4.353453612161E1,1.713717787516E1)); #1990=CARTESIAN_POINT('',(1.960855503923E1,-4.550093823272E1,1.663415098610E1)); #1991=CARTESIAN_POINT('',(1.807823123125E1,-4.732980687734E1,1.614321490956E1)); #1992=CARTESIAN_POINT('',(1.636355867276E1,-4.900471183508E1,1.566388851380E1)); #1993=CARTESIAN_POINT('',(1.450592707342E1,-5.049047842585E1,1.520600186642E1)); #1994=CARTESIAN_POINT('',(1.254346579356E1,-5.177862489383E1,1.477908764666E1)); #1995=CARTESIAN_POINT('',(1.044733250131E1,-5.288946658757E1,1.438474546128E1)); #1996=CARTESIAN_POINT('',(8.213855199697E0,-5.380548024316E1,1.403807430848E1)); #1997=CARTESIAN_POINT('',(5.933066643277E0,-5.448730873936E1,1.376595316946E1)); #1998=CARTESIAN_POINT('',(3.582874937765E0,-5.495399672192E1,1.357249044485E1)); #1999=CARTESIAN_POINT('',(1.137210731231E0,-5.519815324926E1,1.346862407063E1)); #2000=CARTESIAN_POINT('',(-1.388762089889E0,-5.518447452851E1, 1.347449705584E1)); #2001=CARTESIAN_POINT('',(-3.811752443022E0,-5.491802507061E1, 1.358762188950E1)); #2002=CARTESIAN_POINT('',(-6.127319241829E0,-5.443711349384E1, 1.378635801459E1)); #2003=CARTESIAN_POINT('',(-8.369678323693E0,-5.374856765307E1, 1.406013664385E1)); #2004=CARTESIAN_POINT('',(-1.056356842357E1,-5.283322600802E1, 1.440524735029E1)); #2005=CARTESIAN_POINT('',(-1.261857664156E1,-5.173254650653E1, 1.479473531343E1)); #2006=CARTESIAN_POINT('',(-1.454174227640E1,-5.046268860243E1, 1.521467521995E1)); #2007=CARTESIAN_POINT('',(-1.636975106325E1,-4.899786740290E1, 1.566576634032E1)); #2008=CARTESIAN_POINT('',(-1.807239471930E1,-4.733590845016E1, 1.614151037317E1)); #2009=CARTESIAN_POINT('',(-1.960353325047E1,-4.550800585183E1, 1.663233133221E1)); #2010=CARTESIAN_POINT('',(-2.095271519961E1,-4.353794891524E1, 1.713632096313E1)); #2011=CARTESIAN_POINT('',(-2.212240520886E1,-4.140180610261E1, 1.766982637172E1)); #2012=CARTESIAN_POINT('',(-2.302612544701E1,-3.924492568297E1, 1.821194071110E1)); #2013=CARTESIAN_POINT('',(-2.347170504318E1,-3.779624928554E1, 1.858588849758E1)); #2014=CARTESIAN_POINT('',(-2.364326448040E1,-3.713215806085E1, 1.876021433411E1)); #2015=CARTESIAN_POINT('',(2.298071565098E1,-3.714030800105E1,1.943366258244E1)); #2016=CARTESIAN_POINT('',(2.281394024885E1,-3.778269466937E1,1.926430213810E1)); #2017=CARTESIAN_POINT('',(2.238040953746E1,-3.918573936876E1,1.890026643905E1)); #2018=CARTESIAN_POINT('',(2.149996088349E1,-4.127821358414E1,1.837089244243E1)); #2019=CARTESIAN_POINT('',(2.036015450802E1,-4.335235392718E1,1.784942098487E1)); #2020=CARTESIAN_POINT('',(1.904717787770E1,-4.526629800744E1,1.735775634719E1)); #2021=CARTESIAN_POINT('',(1.755534835654E1,-4.704841732399E1,1.687893640194E1)); #2022=CARTESIAN_POINT('',(1.588534407962E1,-4.868210645659E1,1.641294488543E1)); #2023=CARTESIAN_POINT('',(1.407579064200E1,-5.013454860031E1,1.596891430518E1)); #2024=CARTESIAN_POINT('',(1.216545656008E1,-5.139523275042E1,1.555622458609E1)); #2025=CARTESIAN_POINT('',(1.012730035775E1,-5.248303298559E1,1.517624579818E1)); #2026=CARTESIAN_POINT('',(7.959170845721E0,-5.338028327115E1,1.484342790196E1)); #2027=CARTESIAN_POINT('',(5.746392323549E0,-5.404885569802E1,1.458273674208E1)); #2028=CARTESIAN_POINT('',(3.468465677941E0,-5.450652920806E1,1.439776878657E1)); #2029=CARTESIAN_POINT('',(1.100162173552E0,-5.474588519908E1,1.429862794530E1)); #2030=CARTESIAN_POINT('',(-1.343614094073E0,-5.473248303575E1, 1.430422868538E1)); #2031=CARTESIAN_POINT('',(-3.690227830936E0,-5.447125348614E1, 1.441222396207E1)); #2032=CARTESIAN_POINT('',(-5.934781263385E0,-5.399962994213E1, 1.460226678410E1)); #2033=CARTESIAN_POINT('',(-8.110406654405E0,-5.332450575811E1, 1.486458869559E1)); #2034=CARTESIAN_POINT('',(-1.024023719841E1,-5.242795995815E1, 1.519596958388E1)); #2035=CARTESIAN_POINT('',(-1.223855802389E1,-5.135012004226E1, 1.557133608536E1)); #2036=CARTESIAN_POINT('',(-1.411067582024E1,-5.010737527083E1, 1.597732060061E1)); #2037=CARTESIAN_POINT('',(-1.589137553997E1,-4.867543750202E1, 1.641477357965E1)); #2038=CARTESIAN_POINT('',(-1.754966388915E1,-4.705436414096E1, 1.687727771516E1)); #2039=CARTESIAN_POINT('',(-1.904227900720E1,-4.527318068541E1, 1.735597803301E1)); #2040=CARTESIAN_POINT('',(-2.035819789934E1,-4.335567096376E1, 1.784858300211E1)); #2041=CARTESIAN_POINT('',(-2.149890064342E1,-4.128031232104E1, 1.837036656334E1)); #2042=CARTESIAN_POINT('',(-2.237972130352E1,-3.918775484556E1, 1.889974923003E1)); #2043=CARTESIAN_POINT('',(-2.281364581330E1,-3.778380557090E1, 1.926400972653E1)); #2044=CARTESIAN_POINT('',(-2.298067020286E1,-3.714048261772E1, 1.943361653775E1)); #2045=CARTESIAN_POINT('',(2.206596237866E1,-3.690413393774E1,1.942927175206E1)); #2046=CARTESIAN_POINT('',(2.190593592365E1,-3.752027126157E1,1.926578545586E1)); #2047=CARTESIAN_POINT('',(2.148981830968E1,-3.886641996108E1,1.891386270589E1)); #2048=CARTESIAN_POINT('',(2.064413780854E1,-4.087527446254E1,1.840076590791E1)); #2049=CARTESIAN_POINT('',(1.954876490983E1,-4.286757890804E1,1.789499481529E1)); #2050=CARTESIAN_POINT('',(1.828657437237E1,-4.470689224857E1,1.741962886715E1)); #2051=CARTESIAN_POINT('',(1.685279512871E1,-4.641949699777E1,1.695888483931E1)); #2052=CARTESIAN_POINT('',(1.524837764654E1,-4.798949877747E1,1.651315937119E1)); #2053=CARTESIAN_POINT('',(1.350778246320E1,-4.938772960976E1,1.609053579945E1)); #2054=CARTESIAN_POINT('',(1.167023905030E1,-5.060193379412E1,1.569989897062E1)); #2055=CARTESIAN_POINT('',(9.711003561418E0,-5.164953622846E1,1.534214200122E1)); #2056=CARTESIAN_POINT('',(7.629789269995E0,-5.251304359219E1,1.503070453818E1)); #2057=CARTESIAN_POINT('',(5.506041217298E0,-5.315684118247E1,1.478767819721E1)); #2058=CARTESIAN_POINT('',(3.321632407223E0,-5.359738143804E1,1.461581175498E1)); #2059=CARTESIAN_POINT('',(1.052711213466E0,-5.382759292176E1,1.452393362845E1)); #2060=CARTESIAN_POINT('',(-1.285781439847E0,-5.381471339273E1, 1.452911726383E1)); #2061=CARTESIAN_POINT('',(-3.534220718560E0,-5.356343474251E1, 1.462922517206E1)); #2062=CARTESIAN_POINT('',(-5.686794403291E0,-5.310944453530E1, 1.480585715280E1)); #2063=CARTESIAN_POINT('',(-7.774965271238E0,-5.245934572623E1, 1.505047243799E1)); #2064=CARTESIAN_POINT('',(-9.819493372917E0,-5.159652072791E1, 1.536066409653E1)); #2065=CARTESIAN_POINT('',(-1.174055721367E1,-5.055847664980E1, 1.571417892400E1)); #2066=CARTESIAN_POINT('',(-1.354133898680E1,-4.936156738475E1, 1.609852888859E1)); #2067=CARTESIAN_POINT('',(-1.525416848743E1,-4.798309456481E1, 1.651491416749E1)); #2068=CARTESIAN_POINT('',(-1.684733616407E1,-4.642520840545E1, 1.695729537394E1)); #2069=CARTESIAN_POINT('',(-1.828186383890E1,-4.471350808306E1, 1.741791074732E1)); #2070=CARTESIAN_POINT('',(-1.954688464937E1,-4.287076523167E1, 1.789418266293E1)); #2071=CARTESIAN_POINT('',(-2.064311895741E1,-4.087729018896E1, 1.840025564142E1)); #2072=CARTESIAN_POINT('',(-2.148915763094E1,-3.886835408931E1, 1.891336217135E1)); #2073=CARTESIAN_POINT('',(-2.190565336140E1,-3.752133681120E1, 1.926550314231E1)); #2074=CARTESIAN_POINT('',(-2.206591876018E1,-3.690430142045E1, 1.942922730351E1)); #2075=(BOUNDED_SURFACE()B_SPLINE_SURFACE(3,3,((#1955,#1956,#1957,#1958,#1959, #1960,#1961,#1962,#1963,#1964,#1965,#1966,#1967,#1968,#1969,#1970,#1971,#1972, #1973,#1974,#1975,#1976,#1977,#1978,#1979,#1980,#1981,#1982,#1983,#1984),(#1985, #1986,#1987,#1988,#1989,#1990,#1991,#1992,#1993,#1994,#1995,#1996,#1997,#1998, #1999,#2000,#2001,#2002,#2003,#2004,#2005,#2006,#2007,#2008,#2009,#2010,#2011, #2012,#2013,#2014),(#2015,#2016,#2017,#2018,#2019,#2020,#2021,#2022,#2023,#2024, #2025,#2026,#2027,#2028,#2029,#2030,#2031,#2032,#2033,#2034,#2035,#2036,#2037, #2038,#2039,#2040,#2041,#2042,#2043,#2044),(#2045,#2046,#2047,#2048,#2049,#2050, #2051,#2052,#2053,#2054,#2055,#2056,#2057,#2058,#2059,#2060,#2061,#2062,#2063, #2064,#2065,#2066,#2067,#2068,#2069,#2070,#2071,#2072,#2073,#2074)), .UNSPECIFIED.,.F.,.F.,.F.)B_SPLINE_SURFACE_WITH_KNOTS((4,4),(4,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0,1.E0),(4.222556080075E-3, 3.662657536062E-2,7.567346796770E-2,1.141572405955E-1,1.507353318352E-1, 1.872032291127E-1,2.255731844922E-1,2.625125845725E-1,2.981146765167E-1, 3.348273094428E-1,3.725470106740E-1,4.095337722813E-1,4.442823848378E-1, 4.822962897975E-1,5.216892188234E-1,5.592907444697E-1,5.935701612954E-1, 6.300562821860E-1,6.671829528049E-1,7.033236446794E-1,7.382123813794E-1, 7.744541320460E-1,8.125536774856E-1,8.491690475103E-1,8.858569751114E-1, 9.243029322650E-1,9.633503229154E-1,9.958014616371E-1),.UNSPECIFIED.)GEOMETRIC_REPRESENTATION_ITEM()RATIONAL_B_SPLINE_SURFACE(((1.195067563832E0, 1.195067563832E0,1.195067563832E0,1.195067563832E0,1.195067563832E0, 1.195067563832E0,1.195067563832E0,1.195067563832E0,1.195067563832E0, 1.195067563832E0,1.195067563832E0,1.195067563832E0,1.195067563832E0, 1.195067563832E0,1.195067563832E0,1.195067563832E0,1.195067563832E0, 1.195067563832E0,1.195067563832E0,1.195067563832E0,1.195067563832E0, 1.195067563832E0,1.195067563832E0,1.195067563832E0,1.195067563832E0, 1.195067563832E0,1.195067563832E0,1.195067563832E0,1.195067563832E0, 1.195067563832E0),(9.349774787227E-1,9.349774787227E-1,9.349774787227E-1, 9.349774787227E-1,9.349774787227E-1,9.349774787227E-1,9.349774787227E-1, 9.349774787227E-1,9.349774787227E-1,9.349774787227E-1,9.349774787227E-1, 9.349774787227E-1,9.349774787227E-1,9.349774787227E-1,9.349774787227E-1, 9.349774787227E-1,9.349774787227E-1,9.349774787227E-1,9.349774787227E-1, 9.349774787227E-1,9.349774787227E-1,9.349774787227E-1,9.349774787227E-1, 9.349774787227E-1,9.349774787227E-1,9.349774787227E-1,9.349774787227E-1, 9.349774787227E-1,9.349774787227E-1,9.349774787227E-1),(9.349774787227E-1, 9.349774787227E-1,9.349774787227E-1,9.349774787227E-1,9.349774787227E-1, 9.349774787227E-1,9.349774787227E-1,9.349774787227E-1,9.349774787227E-1, 9.349774787227E-1,9.349774787227E-1,9.349774787227E-1,9.349774787227E-1, 9.349774787227E-1,9.349774787227E-1,9.349774787227E-1,9.349774787227E-1, 9.349774787227E-1,9.349774787227E-1,9.349774787227E-1,9.349774787227E-1, 9.349774787227E-1,9.349774787227E-1,9.349774787227E-1,9.349774787227E-1, 9.349774787227E-1,9.349774787227E-1,9.349774787227E-1,9.349774787227E-1, 9.349774787227E-1),(1.195067563832E0,1.195067563832E0,1.195067563832E0, 1.195067563832E0,1.195067563832E0,1.195067563832E0,1.195067563832E0, 1.195067563832E0,1.195067563832E0,1.195067563832E0,1.195067563832E0, 1.195067563832E0,1.195067563832E0,1.195067563832E0,1.195067563832E0, 1.195067563832E0,1.195067563832E0,1.195067563832E0,1.195067563832E0, 1.195067563832E0,1.195067563832E0,1.195067563832E0,1.195067563832E0, 1.195067563832E0,1.195067563832E0,1.195067563832E0,1.195067563832E0, 1.195067563832E0,1.195067563832E0,1.195067563832E0)))REPRESENTATION_ITEM('')SURFACE()); #2077=ORIENTED_EDGE('',*,*,#2076,.T.); #2079=ORIENTED_EDGE('',*,*,#2078,.T.); #2080=ORIENTED_EDGE('',*,*,#1934,.F.); #2082=ORIENTED_EDGE('',*,*,#2081,.F.); #2083=EDGE_LOOP('',(#2077,#2079,#2080,#2082)); #2084=FACE_OUTER_BOUND('',#2083,.F.); #2086=CARTESIAN_POINT('',(-2.561305200142E1,-3.689495817870E1, -1.806822503728E-1)); #2087=CARTESIAN_POINT('',(-2.440633770747E1,-4.135037750964E1, -1.725517911637E-1)); #2088=CARTESIAN_POINT('',(-2.021782352296E1,-4.859315382064E1, -1.591338059613E-1)); #2089=CARTESIAN_POINT('',(-1.041157931161E1,-5.513563134431E1, -1.468734585957E-1)); #2090=CARTESIAN_POINT('',(0.E0,-5.720760648357E1,-1.429717681714E-1)); #2091=CARTESIAN_POINT('',(1.041157931161E1,-5.513563134431E1, -1.468734585957E-1)); #2092=CARTESIAN_POINT('',(2.021789465803E1,-4.859310636112E1, -1.591338948986E-1)); #2093=CARTESIAN_POINT('',(2.440642455400E1,-4.135022733390E1, -1.725520693797E-1)); #2094=CARTESIAN_POINT('',(2.561311774789E1,-3.689471542556E1, -1.806826933600E-1)); #2095=CARTESIAN_POINT('',(-2.544452599447E1,-3.686147023953E1, 1.039127313630E0)); #2096=CARTESIAN_POINT('',(-2.425906334138E1,-4.129628968595E1, 9.923722095917E-1)); #2097=CARTESIAN_POINT('',(-2.010969640692E1,-4.850882873218E1, 9.152093379790E-1)); #2098=CARTESIAN_POINT('',(-1.036031655504E1,-5.502628615313E1, 8.447029190399E-1)); #2099=CARTESIAN_POINT('',(0.E0,-5.709064808087E1,8.222650977227E-1)); #2100=CARTESIAN_POINT('',(1.036031655504E1,-5.502628615313E1, 8.447029190399E-1)); #2101=CARTESIAN_POINT('',(2.010976712949E1,-4.850878145416E1, 9.152098494367E-1)); #2102=CARTESIAN_POINT('',(2.425914937621E1,-4.129614013716E1, 9.923738095295E-1)); #2103=CARTESIAN_POINT('',(2.544459058305E1,-3.686122860878E1,1.039129861077E0)); #2104=CARTESIAN_POINT('',(-2.498381002684E1,-3.676431326679E1, 4.579228144861E0)); #2105=CARTESIAN_POINT('',(-2.385624649583E1,-4.113936724335E1, 4.372936569112E0)); #2106=CARTESIAN_POINT('',(-1.981387356959E1,-4.826418034461E1, 4.032555735970E0)); #2107=CARTESIAN_POINT('',(-1.022006473913E1,-5.470904812590E1, 3.721584162707E0)); #2108=CARTESIAN_POINT('',(0.E0,-5.675132220343E1,3.622627308379E0)); #2109=CARTESIAN_POINT('',(1.022006473913E1,-5.470904812590E1,3.721584162707E0)); #2110=CARTESIAN_POINT('',(1.981394316364E1,-4.826413359317E1,4.032557991776E0)); #2111=CARTESIAN_POINT('',(2.385633031219E1,-4.113921951352E1,4.372943626756E0)); #2112=CARTESIAN_POINT('',(2.498387146079E1,-3.676407489236E1,4.579239384637E0)); #2113=CARTESIAN_POINT('',(-2.431577628600E1,-3.659498078256E1, 1.075456062764E1)); #2114=CARTESIAN_POINT('',(-2.327115835969E1,-4.086587100022E1, 1.026878475305E1)); #2115=CARTESIAN_POINT('',(-1.938379051843E1,-4.783778872225E1, 9.467640044391E0)); #2116=CARTESIAN_POINT('',(-1.001614366539E1,-5.415614181633E1, 8.735952638259E0)); #2117=CARTESIAN_POINT('',(0.E0,-5.615991952617E1,8.503147664278E0)); #2118=CARTESIAN_POINT('',(1.001614366539E1,-5.415614181633E1,8.735952638259E0)); #2119=CARTESIAN_POINT('',(1.938385847189E1,-4.783774288855E1,9.467645352094E0)); #2120=CARTESIAN_POINT('',(2.327123896209E1,-4.086572644061E1,1.026880136442E1)); #2121=CARTESIAN_POINT('',(2.431583320068E1,-3.659474808349E1,1.075458709509E1)); #2122=CARTESIAN_POINT('',(-2.391467754462E1,-3.645913623750E1, 1.571400302887E1)); #2123=CARTESIAN_POINT('',(-2.291865150533E1,-4.064646258079E1, 1.500258474658E1)); #2124=CARTESIAN_POINT('',(-1.912418896187E1,-4.749572218835E1, 1.382979382216E1)); #2125=CARTESIAN_POINT('',(-9.893036729257E0,-5.371258069795E1, 1.275898036231E1)); #2126=CARTESIAN_POINT('',(0.E0,-5.568547525161E1,1.241831334121E1)); #2127=CARTESIAN_POINT('',(9.893036729257E0,-5.371258069795E1,1.275898036231E1)); #2128=CARTESIAN_POINT('',(1.912425592519E1,-4.749567709090E1,1.382980158990E1)); #2129=CARTESIAN_POINT('',(2.291873018139E1,-4.064632056444E1,1.500260906388E1)); #2130=CARTESIAN_POINT('',(2.391473181178E1,-3.645890809141E1,1.571404179043E1)); #2131=CARTESIAN_POINT('',(-2.373431802573E1,-3.638696072601E1, 1.835030593490E1)); #2132=CARTESIAN_POINT('',(-2.275974927349E1,-4.052988878026E1, 1.751865457394E1)); #2133=CARTESIAN_POINT('',(-1.900701016664E1,-4.731397895355E1, 1.614791782140E1)); #2134=CARTESIAN_POINT('',(-9.837462805239E0,-5.347691241562E1, 1.489653199442E1)); #2135=CARTESIAN_POINT('',(0.E0,-5.543339845179E1,1.449843928634E1)); #2136=CARTESIAN_POINT('',(9.837462805239E0,-5.347691241562E1,1.489653199442E1)); #2137=CARTESIAN_POINT('',(1.900707668308E1,-4.731393424727E1,1.614792689902E1)); #2138=CARTESIAN_POINT('',(2.275982708443E1,-4.052974811517E1,1.751868299555E1)); #2139=CARTESIAN_POINT('',(2.373437112380E1,-3.638673499896E1,1.835035124734E1)); #2140=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#2086,#2087,#2088,#2089,#2090,#2091, #2092,#2093,#2094),(#2095,#2096,#2097,#2098,#2099,#2100,#2101,#2102,#2103),( #2104,#2105,#2106,#2107,#2108,#2109,#2110,#2111,#2112),(#2113,#2114,#2115,#2116, #2117,#2118,#2119,#2120,#2121),(#2122,#2123,#2124,#2125,#2126,#2127,#2128,#2129, #2130),(#2131,#2132,#2133,#2134,#2135,#2136,#2137,#2138,#2139)),.UNSPECIFIED., .F.,.F.,.F.,(4,1,1,4),(4,1,1,1,1,1,4),(-5.770893265848E-3,1.111111111111E-1, 3.333333333333E-1,5.852454742281E-1),(8.373776030725E-2,2.5E-1,3.75E-1,5.E-1, 6.25E-1,7.5E-1,9.162652592834E-1),.UNSPECIFIED.); #2142=ORIENTED_EDGE('',*,*,#2141,.T.); #2144=ORIENTED_EDGE('',*,*,#2143,.T.); #2145=ORIENTED_EDGE('',*,*,#2076,.F.); #2147=ORIENTED_EDGE('',*,*,#2146,.F.); #2148=EDGE_LOOP('',(#2142,#2144,#2145,#2147)); #2149=FACE_OUTER_BOUND('',#2148,.F.); #2151=CARTESIAN_POINT('',(0.E0,0.E0,0.E0)); #2152=DIRECTION('',(0.E0,0.E0,1.E0)); #2153=DIRECTION('',(1.E0,0.E0,0.E0)); #2154=AXIS2_PLACEMENT_3D('',#2151,#2152,#2153); #2155=PLANE('',#2154); #2157=ORIENTED_EDGE('',*,*,#2156,.F.); #2159=ORIENTED_EDGE('',*,*,#2158,.F.); #2160=ORIENTED_EDGE('',*,*,#2141,.F.); #2162=ORIENTED_EDGE('',*,*,#2161,.F.); #2163=EDGE_LOOP('',(#2157,#2159,#2160,#2162)); #2164=FACE_OUTER_BOUND('',#2163,.F.); #2166=CARTESIAN_POINT('',(-2.188364565371E1,-2.597477212086E1, 1.711599943667E2)); #2167=CARTESIAN_POINT('',(-2.194690775028E1,-2.587349392800E1, 1.675746693292E2)); #2168=CARTESIAN_POINT('',(-2.218935832208E1,-2.549734691174E1, 1.542600327431E2)); #2169=CARTESIAN_POINT('',(-2.253836081609E1,-2.498376548378E1, 1.360854238837E2)); #2170=CARTESIAN_POINT('',(-2.282855733471E1,-2.450274504282E1, 1.190639042454E2)); #2171=CARTESIAN_POINT('',(-2.304852999045E1,-2.409044180771E1, 1.044734296869E2)); #2172=CARTESIAN_POINT('',(-2.326102544051E1,-2.360942136675E1, 8.745006843435E1)); #2173=CARTESIAN_POINT('',(-2.332016838278E1,-2.312840092578E1, 7.041960108951E1)); #2174=CARTESIAN_POINT('',(-2.341407230915E1,-2.271609769067E1, 5.582388629349E1)); #2175=CARTESIAN_POINT('',(-2.364383519241E1,-2.223507724971E1, 3.880106736379E1)); #2176=CARTESIAN_POINT('',(-2.447905605125E1,-2.166942773263E1, 1.880946940847E1)); #2177=CARTESIAN_POINT('',(-2.601433158097E1,-2.124121262725E1, 3.717214381018E0)); #2178=CARTESIAN_POINT('',(-2.671260871200E1,-2.108786634528E1, -1.680488459208E0)); #2179=CARTESIAN_POINT('',(-2.157736772788E1,-2.503171889501E1, 1.711602869345E2)); #2180=CARTESIAN_POINT('',(-2.164965890862E1,-2.490683049508E1, 1.675752244855E2)); #2181=CARTESIAN_POINT('',(-2.192505491260E1,-2.444299521448E1, 1.542614560533E2)); #2182=CARTESIAN_POINT('',(-2.231762940237E1,-2.380968649354E1, 1.360876180691E2)); #2183=CARTESIAN_POINT('',(-2.265151571600E1,-2.321652945237E1, 1.190667502442E2)); #2184=CARTESIAN_POINT('',(-2.291119572718E1,-2.270810913137E1, 1.044768856842E2)); #2185=CARTESIAN_POINT('',(-2.317348248641E1,-2.211495209020E1, 8.745433355446E1)); #2186=CARTESIAN_POINT('',(-2.329445881802E1,-2.152179504904E1, 7.042528232996E1)); #2187=CARTESIAN_POINT('',(-2.343796970805E1,-2.101337472804E1, 5.583062515001E1)); #2188=CARTESIAN_POINT('',(-2.371616796690E1,-2.042021768687E1, 3.880856901827E1)); #2189=CARTESIAN_POINT('',(-2.456397616227E1,-1.972270264319E1, 1.881563375350E1)); #2190=CARTESIAN_POINT('',(-2.603787329039E1,-1.919466103984E1, 3.718775681011E0)); #2191=CARTESIAN_POINT('',(-2.670251051560E1,-1.900556631716E1, -1.681166648827E0)); #2192=CARTESIAN_POINT('',(-2.089879223574E1,-2.294389060884E1, 1.711608812764E2)); #2193=CARTESIAN_POINT('',(-2.099066005106E1,-2.276687622724E1, 1.675763522672E2)); #2194=CARTESIAN_POINT('',(-2.133755576902E1,-2.210944514955E1, 1.542643474610E2)); #2195=CARTESIAN_POINT('',(-2.182485876404E1,-2.121180572381E1, 1.360920754841E2)); #2196=CARTESIAN_POINT('',(-2.225337871176E1,-2.037107650565E1, 1.190725317966E2)); #2197=CARTESIAN_POINT('',(-2.259880913330E1,-1.965045146151E1, 1.044839064285E2)); #2198=CARTESIAN_POINT('',(-2.296825113428E1,-1.880972224335E1, 8.746299800503E1)); #2199=CARTESIAN_POINT('',(-2.322109562309E1,-1.796899302519E1, 7.043682358222E1)); #2200=CARTESIAN_POINT('',(-2.347067302979E1,-1.724836798105E1, 5.584431491433E1)); #2201=CARTESIAN_POINT('',(-2.385324386565E1,-1.640763876289E1, 3.882380838145E1)); #2202=CARTESIAN_POINT('',(-2.473273172843E1,-1.541899454790E1, 1.882815641672E1)); #2203=CARTESIAN_POINT('',(-2.608509424484E1,-1.467055868095E1, 3.721947410351E0)); #2204=CARTESIAN_POINT('',(-2.668228052938E1,-1.440253951010E1, -1.682544368627E0)); #2205=CARTESIAN_POINT('',(-1.984609970946E1,-1.970966339877E1, 1.711616344921E2)); #2206=CARTESIAN_POINT('',(-1.996698066865E1,-1.945212272153E1, 1.675777815169E2)); #2207=CARTESIAN_POINT('',(-2.042006222889E1,-1.849561713447E1, 1.542680117724E2)); #2208=CARTESIAN_POINT('',(-2.104858780990E1,-1.718962909251E1, 1.360977244133E2)); #2209=CARTESIAN_POINT('',(-2.161705460660E1,-1.596644050033E1, 1.190798588190E2)); #2210=CARTESIAN_POINT('',(-2.208846106304E1,-1.491799313561E1, 1.044928038920E2)); #2211=CARTESIAN_POINT('',(-2.261411440593E1,-1.369480454344E1, 8.747397855479E1)); #2212=CARTESIAN_POINT('',(-2.305527019131E1,-1.247161595127E1, 7.045144993314E1)); #2213=CARTESIAN_POINT('',(-2.345721251010E1,-1.142316858655E1, 5.586166409730E1)); #2214=CARTESIAN_POINT('',(-2.399238024536E1,-1.019997999438E1, 3.884312138743E1)); #2215=CARTESIAN_POINT('',(-2.493303863703E1,-8.761587823187E0, 1.884402652013E1)); #2216=CARTESIAN_POINT('',(-2.614269495399E1,-7.672678106906E0, 3.725966976458E0)); #2217=CARTESIAN_POINT('',(-2.665769600486E1,-7.282733300438E0, -1.684290367486E0)); #2218=CARTESIAN_POINT('',(-1.872710640298E1,-1.627627430830E1, 1.711622605262E2)); #2219=CARTESIAN_POINT('',(-1.887739963840E1,-1.593311978728E1, 1.675789694355E2)); #2220=CARTESIAN_POINT('',(-1.943837148377E1,-1.465864454672E1, 1.542710573593E2)); #2221=CARTESIAN_POINT('',(-2.021097916272E1,-1.291850894639E1, 1.361024195122E2)); #2222=CARTESIAN_POINT('',(-2.092098431252E1,-1.128869768720E1, 1.190859486625E2)); #2223=CARTESIAN_POINT('',(-2.151887864935E1,-9.891716607900E0, 1.045001990051E2)); #2224=CARTESIAN_POINT('',(-2.220003274943E1,-8.261905348713E0, 8.748310502192E1)); #2225=CARTESIAN_POINT('',(-2.282424579442E1,-6.632094089526E0, 7.046360660235E1)); #2226=CARTESIAN_POINT('',(-2.337532976885E1,-5.235113010223E0, 5.587608384419E1)); #2227=CARTESIAN_POINT('',(-2.406289540977E1,-3.605301751036E0, 3.885917336281E1)); #2228=CARTESIAN_POINT('',(-2.508123088263E1,-1.688747124277E0, 1.885721693231E1)); #2229=CARTESIAN_POINT('',(-2.618743022439E1,-2.378528572862E-1, 3.729307832679E0)); #2230=CARTESIAN_POINT('',(-2.663870068840E1,2.817206901697E-1, -1.685741551767E0)); #2231=CARTESIAN_POINT('',(-1.760869389571E1,-1.284824468763E1, 1.711627329649E2)); #2232=CARTESIAN_POINT('',(-1.778710460726E1,-1.241909503694E1, 1.675798659024E2)); #2233=CARTESIAN_POINT('',(-1.845144635888E1,-1.082523404785E1, 1.542733557217E2)); #2234=CARTESIAN_POINT('',(-1.936265569927E1,-8.649017389542E0, 1.361059626844E2)); #2235=CARTESIAN_POINT('',(-2.020765437357E1,-6.610772547321E0, 1.190905443835E2)); #2236=CARTESIAN_POINT('',(-2.092531943584E1,-4.863705539703E0, 1.045057797523E2)); #2237=CARTESIAN_POINT('',(-2.175243861083E1,-2.825460697483E0, 8.748999234120E1)); #2238=CARTESIAN_POINT('',(-2.254427041966E1,-7.872158552620E-1, 7.047278067386E1)); #2239=CARTESIAN_POINT('',(-2.323292628293E1,9.598511523557E-1, 5.588696575493E1)); #2240=CARTESIAN_POINT('',(-2.406401880174E1,2.998095994576E0,3.887128704030E1)); #2241=CARTESIAN_POINT('',(-2.517134877467E1,5.394942599241E0,1.886717112146E1)); #2242=CARTESIAN_POINT('',(-2.621741635444E1,7.209433534699E0,3.731829021121E0)); #2243=CARTESIAN_POINT('',(-2.662618673800E1,7.859213131746E0, -1.686836692891E0)); #2244=CARTESIAN_POINT('',(-1.667845253981E1,-9.999389247373E0, 1.711630155689E2)); #2245=CARTESIAN_POINT('',(-1.687929828861E1,-9.498020458030E0, 1.675804021520E2)); #2246=CARTESIAN_POINT('',(-1.762629650561E1,-7.635937724869E0, 1.542747305590E2)); #2247=CARTESIAN_POINT('',(-1.864876347064E1,-5.093498019800E0, 1.361080821435E2)); #2248=CARTESIAN_POINT('',(-1.960123120152E1,-2.712248618119E0, 1.190932934576E2)); #2249=CARTESIAN_POINT('',(-2.041357342470E1,-6.711777023928E-1, 1.045091180505E2)); #2250=CARTESIAN_POINT('',(-2.135507852169E1,1.710071699288E0,8.749411220640E1)); #2251=CARTESIAN_POINT('',(-2.227494752755E1,4.091321100969E0,7.047826843135E1)); #2252=CARTESIAN_POINT('',(-2.306950305422E1,6.132392016695E0,5.589347510981E1)); #2253=CARTESIAN_POINT('',(-2.401344436672E1,8.513641418375E0,3.887853321495E1)); #2254=CARTESIAN_POINT('',(-2.520317861707E1,1.131383962080E1,1.887312553068E1)); #2255=CARTESIAN_POINT('',(-2.623124606497E1,1.343368085131E1,3.733337148754E0)); #2256=CARTESIAN_POINT('',(-2.662035241932E1,1.419280813800E1, -1.687491785768E0)); #2257=CARTESIAN_POINT('',(-1.593624881857E1,-7.726975990839E0, 1.711631851358E2)); #2258=CARTESIAN_POINT('',(-1.615450433898E1,-7.167355961593E0, 1.675807239104E2)); #2259=CARTESIAN_POINT('',(-1.696574206761E1,-5.088928233860E0, 1.542755554834E2)); #2260=CARTESIAN_POINT('',(-1.807491239864E1,-2.251096656885E0, 1.361093538530E2)); #2261=CARTESIAN_POINT('',(-1.911064355026E1,4.068168154659E-1, 1.190949429460E2)); #2262=CARTESIAN_POINT('',(-1.999596773399E1,2.685028363195E0,1.045111210829E2)); #2263=CARTESIAN_POINT('',(-2.102509385902E1,5.342941835546E0,8.749658419145E1)); #2264=CARTESIAN_POINT('',(-2.204118416083E1,8.000855307897E0,7.048156117366E1)); #2265=CARTESIAN_POINT('',(-2.291579179553E1,1.027906685563E1,5.589738082691E1)); #2266=CARTESIAN_POINT('',(-2.394638574922E1,1.293698032798E1,3.888288103570E1)); #2267=CARTESIAN_POINT('',(-2.520632992926E1,1.606251792274E1,1.887669827151E1)); #2268=CARTESIAN_POINT('',(-2.623690759102E1,1.842865166826E1,3.734242049470E0)); #2269=CARTESIAN_POINT('',(-2.661858551186E1,1.927597771530E1, -1.687884851979E0)); #2270=CARTESIAN_POINT('',(-1.538043398435E1,-6.026623975563E0, 1.711632865880E2)); #2271=CARTESIAN_POINT('',(-1.561147195588E1,-5.423038951089E0, 1.675809164191E2)); #2272=CARTESIAN_POINT('',(-1.646992128497E1,-3.181325314427E0, 1.542760490373E2)); #2273=CARTESIAN_POINT('',(-1.764292412633E1,-1.205473716711E-1, 1.361101147192E2)); #2274=CARTESIAN_POINT('',(-1.873972490639E1,2.746177699658E0,1.190959298382E2)); #2275=CARTESIAN_POINT('',(-1.967840158593E1,5.203370617940E0,1.045123195011E2)); #2276=CARTESIAN_POINT('',(-2.077131754506E1,8.070095689269E0,8.749806318504E1)); #2277=CARTESIAN_POINT('',(-2.185656633767E1,1.093682076060E1,7.048353122796E1)); #2278=CARTESIAN_POINT('',(-2.278893995798E1,1.339401367888E1,5.589971762525E1)); #2279=CARTESIAN_POINT('',(-2.388271923710E1,1.626073875021E1,3.888548234553E1)); #2280=CARTESIAN_POINT('',(-2.519718429097E1,1.963182553595E1,1.887883584947E1)); #2281=CARTESIAN_POINT('',(-2.623742374866E1,2.218384801560E1,3.734783453373E0)); #2282=CARTESIAN_POINT('',(-2.661736332095E1,2.309774188307E1, -1.688120024277E0)); #2283=CARTESIAN_POINT('',(-1.491944123451E1,-4.612938270804E0, 1.711633518084E2)); #2284=CARTESIAN_POINT('',(-1.516097387897E1,-3.972465921467E0, 1.675810401767E2)); #2285=CARTESIAN_POINT('',(-1.605822257837E1,-1.593752830189E0, 1.542763663272E2)); #2286=CARTESIAN_POINT('',(-1.728377481779E1,1.654080632059E0,1.361106038556E2)); #2287=CARTESIAN_POINT('',(-1.843066358713E1,4.696001930455E0,1.190965642794E2)); #2288=CARTESIAN_POINT('',(-1.941295533089E1,7.303363043365E0,1.045130899256E2)); #2289=CARTESIAN_POINT('',(-2.055780273647E1,1.034528434176E1,8.749901398232E1)); #2290=CARTESIAN_POINT('',(-2.169862126243E1,1.338720564016E1,7.048479771234E1)); #2291=CARTESIAN_POINT('',(-2.267760092645E1,1.599456675307E1,5.590121987754E1)); #2292=CARTESIAN_POINT('',(-2.382290198232E1,1.903648805146E1,3.888715464373E1)); #2293=CARTESIAN_POINT('',(-2.518454794302E1,2.261359448376E1,1.888021002941E1)); #2294=CARTESIAN_POINT('',(-2.623908154543E1,2.532158054510E1,3.735131504483E0)); #2295=CARTESIAN_POINT('',(-2.662061709973E1,2.629132586449E1, -1.688271208963E0)); #2296=CARTESIAN_POINT('',(-1.454889811811E1,-3.485267545750E0, 1.711633936584E2)); #2297=CARTESIAN_POINT('',(-1.479860514871E1,-2.815092710404E0, 1.675811195883E2)); #2298=CARTESIAN_POINT('',(-1.572609382043E1,-3.260646424012E-1, 1.542765699228E2)); #2299=CARTESIAN_POINT('',(-1.699264804979E1,3.072390041930E0,1.361109177200E2)); #2300=CARTESIAN_POINT('',(-1.817852497307E1,6.255383199080E0,1.190969713816E2)); #2301=CARTESIAN_POINT('',(-1.919469448123E1,8.983663048066E0,1.045135842842E2)); #2302=CARTESIAN_POINT('',(-2.037977074931E1,1.216665620522E1,8.749962408090E1)); #2303=CARTESIAN_POINT('',(-2.156326682700E1,1.534964936237E1,7.048561037802E1)); #2304=CARTESIAN_POINT('',(-2.257813728505E1,1.807792921135E1,5.590218382853E1)); #2305=CARTESIAN_POINT('',(-2.376339148196E1,2.126092236850E1,3.888822770817E1)); #2306=CARTESIAN_POINT('',(-2.516299749464E1,2.500392035547E1,1.888109180016E1)); #2307=CARTESIAN_POINT('',(-2.623184946674E1,2.783749172612E1,3.735354838617E0)); #2308=CARTESIAN_POINT('',(-2.661614152631E1,2.885220986410E1, -1.688368219718E0)); #2309=CARTESIAN_POINT('',(-1.424808460347E1,-2.542364567463E0, 1.711634232072E2)); #2310=CARTESIAN_POINT('',(-1.450486628713E1,-1.847350832422E0, 1.675811756582E2)); #2311=CARTESIAN_POINT('',(-1.545854230553E1,7.339288619592E-1, 1.542767136746E2)); #2312=CARTESIAN_POINT('',(-1.676064135562E1,4.258341536011E0,1.361111393289E2)); #2313=CARTESIAN_POINT('',(-1.798023972261E1,7.559306964858E0,1.190972588225E2)); #2314=CARTESIAN_POINT('',(-1.902565069550E1,1.038870590387E1,1.045139333339E2)); #2315=CARTESIAN_POINT('',(-2.024535965352E1,1.368967133272E1,8.750005485059E1)); #2316=CARTESIAN_POINT('',(-2.146528687639E1,1.699063676156E1,7.048618417339E1)); #2317=CARTESIAN_POINT('',(-2.251087728144E1,1.982003570058E1,5.590286444128E1)); #2318=CARTESIAN_POINT('',(-2.373056166292E1,2.312100112942E1,3.888898536218E1)); #2319=CARTESIAN_POINT('',(-2.516402889794E1,2.700272702239E1,1.888171438825E1)); #2320=CARTESIAN_POINT('',(-2.624792506745E1,2.994131993568E1,3.735512527192E0)); #2321=CARTESIAN_POINT('',(-2.663586315546E1,3.099364688963E1, -1.688436715686E0)); #2322=CARTESIAN_POINT('',(-1.387651602756E1,-1.519126808462E0, 1.711634492634E2)); #2323=CARTESIAN_POINT('',(-1.413948628445E1,-7.962910804601E-1, 1.675812251006E2)); #2324=CARTESIAN_POINT('',(-1.511606462246E1,1.888319443036E0,1.542768404351E2)); #2325=CARTESIAN_POINT('',(-1.644923727717E1,5.553817364280E0,1.361113347439E2)); #2326=CARTESIAN_POINT('',(-1.769834061183E1,8.986923256396E0,1.190975122882E2)); #2327=CARTESIAN_POINT('',(-1.876935639100E1,1.192958544964E1,1.045142411263E2)); #2328=CARTESIAN_POINT('',(-2.001942069220E1,1.536269134175E1,8.750043470370E1)); #2329=CARTESIAN_POINT('',(-2.127138157773E1,1.879579723387E1,7.048669014669E1)); #2330=CARTESIAN_POINT('',(-2.234395650960E1,2.173845942711E1,5.590346460624E1)); #2331=CARTESIAN_POINT('',(-2.359380725614E1,2.517156531923E1,3.888965346220E1)); #2332=CARTESIAN_POINT('',(-2.505656437789E1,2.920868001248E1,1.888226338701E1)); #2333=CARTESIAN_POINT('',(-2.615275149065E1,3.226490730798E1,3.735651577124E0)); #2334=CARTESIAN_POINT('',(-2.654346597449E1,3.335935980799E1, -1.688497115495E0)); #2335=CARTESIAN_POINT('',(-1.320762191558E1,-8.524668048355E-2, 1.711634773879E2)); #2336=CARTESIAN_POINT('',(-1.347442474395E1,6.788549220265E-1, 1.675812784676E2)); #2337=CARTESIAN_POINT('',(-1.446514094273E1,3.516726825219E0,1.542769772575E2)); #2338=CARTESIAN_POINT('',(-1.581738622308E1,7.391483878752E0,1.361115456702E2)); #2339=CARTESIAN_POINT('',(-1.708482425307E1,1.102058190495E1,1.190977858731E2)); #2340=CARTESIAN_POINT('',(-1.817192509922E1,1.413123735597E1,1.045145733502E2)); #2341=CARTESIAN_POINT('',(-1.944132243322E1,1.776033538216E1,8.750084470834E1)); #2342=CARTESIAN_POINT('',(-2.071458669195E1,2.138943340836E1,7.048723628251E1)); #2343=CARTESIAN_POINT('',(-2.180486647723E1,2.450008885938E1,5.590411241036E1)); #2344=CARTESIAN_POINT('',(-2.307382839699E1,2.812918688557E1,3.889037459384E1)); #2345=CARTESIAN_POINT('',(-2.455179923707E1,3.239677589249E1,1.888285596352E1)); #2346=CARTESIAN_POINT('',(-2.564790166742E1,3.562747974907E1,3.735801664392E0)); #2347=CARTESIAN_POINT('',(-2.603667801046E1,3.678441330497E1, -1.688562309646E0)); #2348=CARTESIAN_POINT('',(-1.217133066848E1,1.596038880461E0,1.711634960245E2)); #2349=CARTESIAN_POINT('',(-1.243418019834E1,2.411876062206E0,1.675813138312E2)); #2350=CARTESIAN_POINT('',(-1.341013403102E1,5.441893808599E0,1.542770679229E2)); #2351=CARTESIAN_POINT('',(-1.474203181594E1,9.579001837316E0,1.361116854407E2)); #2352=CARTESIAN_POINT('',(-1.599080300471E1,1.345381782545E1,1.190979671644E2)); #2353=CARTESIAN_POINT('',(-1.706221006567E1,1.677508867242E1,1.045147934986E2)); #2354=CARTESIAN_POINT('',(-1.831376805742E1,2.064990466056E1,8.750111639815E1)); #2355=CARTESIAN_POINT('',(-1.957082614374E1,2.452472064869E1,7.048759817974E1)); #2356=CARTESIAN_POINT('',(-2.064675474754E1,2.784599149566E1,5.590454167815E1)); #2357=CARTESIAN_POINT('',(-2.189769343077E1,3.172080748380E1,3.889085245216E1)); #2358=CARTESIAN_POINT('',(-2.334845259973E1,3.627734522278E1,1.888324863471E1)); #2359=CARTESIAN_POINT('',(-2.441433830883E1,3.972679267943E1,3.735901119811E0)); #2360=CARTESIAN_POINT('',(-2.479071252647E1,4.096205957144E1, -1.688605510589E0)); #2361=CARTESIAN_POINT('',(-1.063049379754E1,3.386989467820E0,1.711634980576E2)); #2362=CARTESIAN_POINT('',(-1.087451180823E1,4.261977558932E0,1.675813176891E2)); #2363=CARTESIAN_POINT('',(-1.178048524551E1,7.511681670584E0,1.542770778137E2)); #2364=CARTESIAN_POINT('',(-1.301673731656E1,1.194874379251E1,1.361117006883E2)); #2365=CARTESIAN_POINT('',(-1.417612328873E1,1.610449682845E1,1.190979869416E2)); #2366=CARTESIAN_POINT('',(-1.517106862023E1,1.966657085926E1,1.045148175148E2)); #2367=CARTESIAN_POINT('',(-1.633365781422E1,2.382232389520E1,8.750114603704E1)); #2368=CARTESIAN_POINT('',(-1.750256895599E1,2.797807693114E1,7.048763765944E1)); #2369=CARTESIAN_POINT('',(-1.850271146318E1,3.154015096195E1,5.590458850736E1)); #2370=CARTESIAN_POINT('',(-1.966458880828E1,3.569590399789E1,3.889090458215E1)); #2371=CARTESIAN_POINT('',(-2.100758933918E1,4.058280587921E1,1.888329147156E1)); #2372=CARTESIAN_POINT('',(-2.198705892868E1,4.428234975025E1,3.735911969493E0)); #2373=CARTESIAN_POINT('',(-2.233169126559E1,4.560717760075E1, -1.688610223419E0)); #2374=CARTESIAN_POINT('',(-8.234698232536E0,5.421247482247E0,1.711634817929E2)); #2375=CARTESIAN_POINT('',(-8.436429865192E0,6.367066272543E0,1.675812868263E2)); #2376=CARTESIAN_POINT('',(-9.185360014385E0,9.879835466685E0,1.542769986875E2)); #2377=CARTESIAN_POINT('',(-1.020720898445E1,1.467607986275E1,1.361115787068E2)); #2378=CARTESIAN_POINT('',(-1.116574465803E1,1.916824306948E1,1.190978287238E2)); #2379=CARTESIAN_POINT('',(-1.198850096602E1,2.301866867525E1,1.045146253853E2)); #2380=CARTESIAN_POINT('',(-1.295015444216E1,2.751083188198E1,8.750090892593E1)); #2381=CARTESIAN_POINT('',(-1.391796128082E1,3.200299508871E1,7.048732182186E1)); #2382=CARTESIAN_POINT('',(-1.474577617314E1,3.585342069448E1,5.590421387365E1)); #2383=CARTESIAN_POINT('',(-1.570673678304E1,4.034558390121E1,3.889048754217E1)); #2384=CARTESIAN_POINT('',(-1.681409314192E1,4.562808279763E1,1.888294877671E1)); #2385=CARTESIAN_POINT('',(-1.761616510050E1,4.962710649212E1,3.735825172037E0)); #2386=CARTESIAN_POINT('',(-1.789743356523E1,5.105917978276E1, -1.688572520778E0)); #2387=CARTESIAN_POINT('',(-4.706711916260E0,7.211620539603E0,1.711634411310E2)); #2388=CARTESIAN_POINT('',(-4.827320359549E0,8.223660178759E0,1.675812096692E2)); #2389=CARTESIAN_POINT('',(-5.275062134504E0,1.198237348003E1,1.542768008720E2)); #2390=CARTESIAN_POINT('',(-5.885923164402E0,1.711442361236E1,1.361112737531E2)); #2391=CARTESIAN_POINT('',(-6.459023439235E0,2.192110252106E1,1.190974331793E2)); #2392=CARTESIAN_POINT('',(-6.951011239375E0,2.604111301424E1,1.045141450615E2)); #2393=CARTESIAN_POINT('',(-7.526161576169E0,3.084779192294E1,8.750031614815E1)); #2394=CARTESIAN_POINT('',(-8.105357959545E0,3.565447083164E1,7.048653222789E1)); #2395=CARTESIAN_POINT('',(-8.600671952121E0,3.977448132481E1,5.590327728939E1)); #2396=CARTESIAN_POINT('',(-9.175366705631E0,4.458116023352E1,3.888944494220E1)); #2397=CARTESIAN_POINT('',(-9.836263427908E0,5.023350963894E1,1.888209203958E1)); #2398=CARTESIAN_POINT('',(-1.031275941836E1,5.451252221331E1,3.735608178396E0)); #2399=CARTESIAN_POINT('',(-1.047947770850E1,5.604486112556E1, -1.688478264174E0)); #2400=CARTESIAN_POINT('',(0.E0,7.956173240482E0,1.711634086015E2)); #2401=CARTESIAN_POINT('',(0.E0,8.996020289403E0,1.675811479435E2)); #2402=CARTESIAN_POINT('',(0.E0,1.285801025782E1,1.542766426197E2)); #2403=CARTESIAN_POINT('',(0.E0,1.813107168600E1,1.361110297901E2)); #2404=CARTESIAN_POINT('',(0.E0,2.306982179512E1,1.190971167437E2)); #2405=CARTESIAN_POINT('',(0.E0,2.730303617437E1,1.045137608026E2)); #2406=CARTESIAN_POINT('',(0.E0,3.224178628350E1,8.749984192593E1)); #2407=CARTESIAN_POINT('',(0.E0,3.718053639263E1,7.048590055272E1)); #2408=CARTESIAN_POINT('',(0.E0,4.141375077188E1,5.590252802197E1)); #2409=CARTESIAN_POINT('',(0.E0,4.635250088101E1,3.888861086223E1)); #2410=CARTESIAN_POINT('',(0.E0,5.216015763801E1,1.888140664988E1)); #2411=CARTESIAN_POINT('',(0.E0,5.655674293526E1,3.735434583483E0)); #2412=CARTESIAN_POINT('',(0.E0,5.813118531301E1,-1.688402858891E0)); #2413=CARTESIAN_POINT('',(4.706711916260E0,7.211620539603E0,1.711634411310E2)); #2414=CARTESIAN_POINT('',(4.827320359549E0,8.223660178759E0,1.675812096692E2)); #2415=CARTESIAN_POINT('',(5.275062134504E0,1.198237348003E1,1.542768008720E2)); #2416=CARTESIAN_POINT('',(5.885923164402E0,1.711442361236E1,1.361112737531E2)); #2417=CARTESIAN_POINT('',(6.459023439235E0,2.192110252106E1,1.190974331793E2)); #2418=CARTESIAN_POINT('',(6.951011239375E0,2.604111301424E1,1.045141450615E2)); #2419=CARTESIAN_POINT('',(7.526161576169E0,3.084779192294E1,8.750031614815E1)); #2420=CARTESIAN_POINT('',(8.105357959545E0,3.565447083164E1,7.048653222789E1)); #2421=CARTESIAN_POINT('',(8.600671952121E0,3.977448132481E1,5.590327728939E1)); #2422=CARTESIAN_POINT('',(9.175366705631E0,4.458116023352E1,3.888944494220E1)); #2423=CARTESIAN_POINT('',(9.836263427908E0,5.023350963894E1,1.888209203958E1)); #2424=CARTESIAN_POINT('',(1.031275941836E1,5.451252221331E1,3.735608178396E0)); #2425=CARTESIAN_POINT('',(1.047947770850E1,5.604486112556E1,-1.688478264174E0)); #2426=CARTESIAN_POINT('',(8.234698232536E0,5.421247482247E0,1.711634817929E2)); #2427=CARTESIAN_POINT('',(8.436429865192E0,6.367066272543E0,1.675812868263E2)); #2428=CARTESIAN_POINT('',(9.185360014385E0,9.879835466685E0,1.542769986875E2)); #2429=CARTESIAN_POINT('',(1.020720898445E1,1.467607986275E1,1.361115787068E2)); #2430=CARTESIAN_POINT('',(1.116574465803E1,1.916824306948E1,1.190978287238E2)); #2431=CARTESIAN_POINT('',(1.198850096602E1,2.301866867525E1,1.045146253853E2)); #2432=CARTESIAN_POINT('',(1.295015444216E1,2.751083188198E1,8.750090892593E1)); #2433=CARTESIAN_POINT('',(1.391796128082E1,3.200299508871E1,7.048732182186E1)); #2434=CARTESIAN_POINT('',(1.474577617314E1,3.585342069448E1,5.590421387365E1)); #2435=CARTESIAN_POINT('',(1.570673678304E1,4.034558390121E1,3.889048754217E1)); #2436=CARTESIAN_POINT('',(1.681409314192E1,4.562808279763E1,1.888294877671E1)); #2437=CARTESIAN_POINT('',(1.761616510050E1,4.962710649212E1,3.735825172037E0)); #2438=CARTESIAN_POINT('',(1.789743356523E1,5.105917978276E1,-1.688572520778E0)); #2439=CARTESIAN_POINT('',(1.063049379754E1,3.386989467820E0,1.711634980576E2)); #2440=CARTESIAN_POINT('',(1.087451180823E1,4.261977558932E0,1.675813176891E2)); #2441=CARTESIAN_POINT('',(1.178048524551E1,7.511681670584E0,1.542770778137E2)); #2442=CARTESIAN_POINT('',(1.301673731656E1,1.194874379251E1,1.361117006883E2)); #2443=CARTESIAN_POINT('',(1.417612328873E1,1.610449682845E1,1.190979869416E2)); #2444=CARTESIAN_POINT('',(1.517106862023E1,1.966657085926E1,1.045148175148E2)); #2445=CARTESIAN_POINT('',(1.633365781422E1,2.382232389520E1,8.750114603704E1)); #2446=CARTESIAN_POINT('',(1.750256895599E1,2.797807693114E1,7.048763765944E1)); #2447=CARTESIAN_POINT('',(1.850271146318E1,3.154015096195E1,5.590458850736E1)); #2448=CARTESIAN_POINT('',(1.966458880828E1,3.569590399789E1,3.889090458215E1)); #2449=CARTESIAN_POINT('',(2.100758933918E1,4.058280587921E1,1.888329147156E1)); #2450=CARTESIAN_POINT('',(2.198705892868E1,4.428234975025E1,3.735911969493E0)); #2451=CARTESIAN_POINT('',(2.233169126559E1,4.560717760075E1,-1.688610223419E0)); #2452=CARTESIAN_POINT('',(1.217133066848E1,1.596038880461E0,1.711634960245E2)); #2453=CARTESIAN_POINT('',(1.243418019834E1,2.411876062206E0,1.675813138312E2)); #2454=CARTESIAN_POINT('',(1.341013403102E1,5.441893808599E0,1.542770679229E2)); #2455=CARTESIAN_POINT('',(1.474203181594E1,9.579001837316E0,1.361116854407E2)); #2456=CARTESIAN_POINT('',(1.599080300471E1,1.345381782545E1,1.190979671644E2)); #2457=CARTESIAN_POINT('',(1.706221006567E1,1.677508867242E1,1.045147934986E2)); #2458=CARTESIAN_POINT('',(1.831376805742E1,2.064990466056E1,8.750111639815E1)); #2459=CARTESIAN_POINT('',(1.957082614374E1,2.452472064869E1,7.048759817974E1)); #2460=CARTESIAN_POINT('',(2.064675474754E1,2.784599149566E1,5.590454167815E1)); #2461=CARTESIAN_POINT('',(2.189769343077E1,3.172080748380E1,3.889085245216E1)); #2462=CARTESIAN_POINT('',(2.334845259973E1,3.627734522278E1,1.888324863471E1)); #2463=CARTESIAN_POINT('',(2.441433830883E1,3.972679267943E1,3.735901119811E0)); #2464=CARTESIAN_POINT('',(2.479071252647E1,4.096205957144E1,-1.688605510589E0)); #2465=CARTESIAN_POINT('',(1.320762191558E1,-8.524668048359E-2, 1.711634773879E2)); #2466=CARTESIAN_POINT('',(1.347442474395E1,6.788549220265E-1,1.675812784676E2)); #2467=CARTESIAN_POINT('',(1.446514094273E1,3.516726825219E0,1.542769772575E2)); #2468=CARTESIAN_POINT('',(1.581738622308E1,7.391483878752E0,1.361115456702E2)); #2469=CARTESIAN_POINT('',(1.708482425307E1,1.102058190495E1,1.190977858731E2)); #2470=CARTESIAN_POINT('',(1.817192509922E1,1.413123735597E1,1.045145733502E2)); #2471=CARTESIAN_POINT('',(1.944132243322E1,1.776033538216E1,8.750084470834E1)); #2472=CARTESIAN_POINT('',(2.071458669195E1,2.138943340836E1,7.048723628251E1)); #2473=CARTESIAN_POINT('',(2.180486647723E1,2.450008885938E1,5.590411241036E1)); #2474=CARTESIAN_POINT('',(2.307382839699E1,2.812918688557E1,3.889037459384E1)); #2475=CARTESIAN_POINT('',(2.455179923707E1,3.239677589249E1,1.888285596352E1)); #2476=CARTESIAN_POINT('',(2.564790166742E1,3.562747974907E1,3.735801664392E0)); #2477=CARTESIAN_POINT('',(2.603667801046E1,3.678441330497E1,-1.688562309646E0)); #2478=CARTESIAN_POINT('',(1.387651602756E1,-1.519126808462E0,1.711634492634E2)); #2479=CARTESIAN_POINT('',(1.413948628445E1,-7.962910804601E-1, 1.675812251006E2)); #2480=CARTESIAN_POINT('',(1.511606462246E1,1.888319443036E0,1.542768404351E2)); #2481=CARTESIAN_POINT('',(1.644923727717E1,5.553817364280E0,1.361113347439E2)); #2482=CARTESIAN_POINT('',(1.769834061183E1,8.986923256396E0,1.190975122882E2)); #2483=CARTESIAN_POINT('',(1.876935639100E1,1.192958544964E1,1.045142411263E2)); #2484=CARTESIAN_POINT('',(2.001942069220E1,1.536269134175E1,8.750043470370E1)); #2485=CARTESIAN_POINT('',(2.127138157773E1,1.879579723387E1,7.048669014669E1)); #2486=CARTESIAN_POINT('',(2.234395650960E1,2.173845942711E1,5.590346460624E1)); #2487=CARTESIAN_POINT('',(2.359380725614E1,2.517156531923E1,3.888965346220E1)); #2488=CARTESIAN_POINT('',(2.505656437789E1,2.920868001248E1,1.888226338701E1)); #2489=CARTESIAN_POINT('',(2.615275149065E1,3.226490730798E1,3.735651577124E0)); #2490=CARTESIAN_POINT('',(2.654346597449E1,3.335935980799E1,-1.688497115495E0)); #2491=CARTESIAN_POINT('',(1.424808460347E1,-2.542364567463E0,1.711634232072E2)); #2492=CARTESIAN_POINT('',(1.450486628713E1,-1.847350832422E0,1.675811756582E2)); #2493=CARTESIAN_POINT('',(1.545854230553E1,7.339288619592E-1,1.542767136746E2)); #2494=CARTESIAN_POINT('',(1.676064135562E1,4.258341536011E0,1.361111393289E2)); #2495=CARTESIAN_POINT('',(1.798023972261E1,7.559306964858E0,1.190972588225E2)); #2496=CARTESIAN_POINT('',(1.902565069550E1,1.038870590387E1,1.045139333339E2)); #2497=CARTESIAN_POINT('',(2.024535965352E1,1.368967133272E1,8.750005485059E1)); #2498=CARTESIAN_POINT('',(2.146528687639E1,1.699063676156E1,7.048618417339E1)); #2499=CARTESIAN_POINT('',(2.251087728144E1,1.982003570058E1,5.590286444128E1)); #2500=CARTESIAN_POINT('',(2.373056166292E1,2.312100112942E1,3.888898536218E1)); #2501=CARTESIAN_POINT('',(2.516402889794E1,2.700272702239E1,1.888171438825E1)); #2502=CARTESIAN_POINT('',(2.624792506745E1,2.994131993568E1,3.735512527192E0)); #2503=CARTESIAN_POINT('',(2.663586315546E1,3.099364688963E1,-1.688436715686E0)); #2504=CARTESIAN_POINT('',(1.454889811811E1,-3.485267545750E0,1.711633936584E2)); #2505=CARTESIAN_POINT('',(1.479860514871E1,-2.815092710404E0,1.675811195883E2)); #2506=CARTESIAN_POINT('',(1.572609382043E1,-3.260646424013E-1, 1.542765699228E2)); #2507=CARTESIAN_POINT('',(1.699264804979E1,3.072390041930E0,1.361109177200E2)); #2508=CARTESIAN_POINT('',(1.817852497307E1,6.255383199080E0,1.190969713816E2)); #2509=CARTESIAN_POINT('',(1.919469448123E1,8.983663048066E0,1.045135842842E2)); #2510=CARTESIAN_POINT('',(2.037977074931E1,1.216665620522E1,8.749962408090E1)); #2511=CARTESIAN_POINT('',(2.156326682700E1,1.534964936237E1,7.048561037802E1)); #2512=CARTESIAN_POINT('',(2.257813728505E1,1.807792921135E1,5.590218382853E1)); #2513=CARTESIAN_POINT('',(2.376339148196E1,2.126092236850E1,3.888822770817E1)); #2514=CARTESIAN_POINT('',(2.516299749464E1,2.500392035547E1,1.888109180016E1)); #2515=CARTESIAN_POINT('',(2.623184946674E1,2.783749172612E1,3.735354838617E0)); #2516=CARTESIAN_POINT('',(2.661614152631E1,2.885220986410E1,-1.688368219718E0)); #2517=CARTESIAN_POINT('',(1.491944123451E1,-4.612938270804E0,1.711633518084E2)); #2518=CARTESIAN_POINT('',(1.516097387897E1,-3.972465921467E0,1.675810401767E2)); #2519=CARTESIAN_POINT('',(1.605822257837E1,-1.593752830189E0,1.542763663272E2)); #2520=CARTESIAN_POINT('',(1.728377481779E1,1.654080632059E0,1.361106038556E2)); #2521=CARTESIAN_POINT('',(1.843066358713E1,4.696001930455E0,1.190965642794E2)); #2522=CARTESIAN_POINT('',(1.941295533089E1,7.303363043365E0,1.045130899256E2)); #2523=CARTESIAN_POINT('',(2.055780273647E1,1.034528434176E1,8.749901398232E1)); #2524=CARTESIAN_POINT('',(2.169862126243E1,1.338720564016E1,7.048479771234E1)); #2525=CARTESIAN_POINT('',(2.267760092645E1,1.599456675307E1,5.590121987754E1)); #2526=CARTESIAN_POINT('',(2.382290198232E1,1.903648805146E1,3.888715464373E1)); #2527=CARTESIAN_POINT('',(2.518454794302E1,2.261359448376E1,1.888021002941E1)); #2528=CARTESIAN_POINT('',(2.623908154543E1,2.532158054510E1,3.735131504483E0)); #2529=CARTESIAN_POINT('',(2.662061709973E1,2.629132586449E1,-1.688271208963E0)); #2530=CARTESIAN_POINT('',(1.538043398435E1,-6.026623975563E0,1.711632865880E2)); #2531=CARTESIAN_POINT('',(1.561147195588E1,-5.423038951089E0,1.675809164191E2)); #2532=CARTESIAN_POINT('',(1.646992128497E1,-3.181325314427E0,1.542760490373E2)); #2533=CARTESIAN_POINT('',(1.764292412633E1,-1.205473716711E-1, 1.361101147192E2)); #2534=CARTESIAN_POINT('',(1.873972490639E1,2.746177699658E0,1.190959298382E2)); #2535=CARTESIAN_POINT('',(1.967840158593E1,5.203370617940E0,1.045123195011E2)); #2536=CARTESIAN_POINT('',(2.077131754506E1,8.070095689269E0,8.749806318504E1)); #2537=CARTESIAN_POINT('',(2.185656633767E1,1.093682076060E1,7.048353122796E1)); #2538=CARTESIAN_POINT('',(2.278893995798E1,1.339401367888E1,5.589971762525E1)); #2539=CARTESIAN_POINT('',(2.388271923710E1,1.626073875021E1,3.888548234553E1)); #2540=CARTESIAN_POINT('',(2.519718429097E1,1.963182553595E1,1.887883584947E1)); #2541=CARTESIAN_POINT('',(2.623742374866E1,2.218384801560E1,3.734783453373E0)); #2542=CARTESIAN_POINT('',(2.661736332095E1,2.309774188307E1,-1.688120024277E0)); #2543=CARTESIAN_POINT('',(1.593624881857E1,-7.726975990839E0,1.711631851358E2)); #2544=CARTESIAN_POINT('',(1.615450433898E1,-7.167355961593E0,1.675807239104E2)); #2545=CARTESIAN_POINT('',(1.696574206761E1,-5.088928233860E0,1.542755554834E2)); #2546=CARTESIAN_POINT('',(1.807491239864E1,-2.251096656885E0,1.361093538530E2)); #2547=CARTESIAN_POINT('',(1.911064355026E1,4.068168154659E-1,1.190949429460E2)); #2548=CARTESIAN_POINT('',(1.999596773399E1,2.685028363195E0,1.045111210829E2)); #2549=CARTESIAN_POINT('',(2.102509385902E1,5.342941835546E0,8.749658419145E1)); #2550=CARTESIAN_POINT('',(2.204118416083E1,8.000855307897E0,7.048156117366E1)); #2551=CARTESIAN_POINT('',(2.291579179553E1,1.027906685563E1,5.589738082691E1)); #2552=CARTESIAN_POINT('',(2.394638574922E1,1.293698032798E1,3.888288103570E1)); #2553=CARTESIAN_POINT('',(2.520632992926E1,1.606251792274E1,1.887669827151E1)); #2554=CARTESIAN_POINT('',(2.623690759102E1,1.842865166826E1,3.734242049470E0)); #2555=CARTESIAN_POINT('',(2.661858551186E1,1.927597771530E1,-1.687884851979E0)); #2556=CARTESIAN_POINT('',(1.667845253981E1,-9.999389247373E0,1.711630155689E2)); #2557=CARTESIAN_POINT('',(1.687929828861E1,-9.498020458030E0,1.675804021520E2)); #2558=CARTESIAN_POINT('',(1.762629650561E1,-7.635937724869E0,1.542747305590E2)); #2559=CARTESIAN_POINT('',(1.864876347064E1,-5.093498019800E0,1.361080821435E2)); #2560=CARTESIAN_POINT('',(1.960123120152E1,-2.712248618119E0,1.190932934576E2)); #2561=CARTESIAN_POINT('',(2.041357342470E1,-6.711777023928E-1, 1.045091180505E2)); #2562=CARTESIAN_POINT('',(2.135507852169E1,1.710071699288E0,8.749411220640E1)); #2563=CARTESIAN_POINT('',(2.227494752755E1,4.091321100969E0,7.047826843135E1)); #2564=CARTESIAN_POINT('',(2.306950305422E1,6.132392016695E0,5.589347510981E1)); #2565=CARTESIAN_POINT('',(2.401344436672E1,8.513641418375E0,3.887853321495E1)); #2566=CARTESIAN_POINT('',(2.520317861707E1,1.131383962080E1,1.887312553068E1)); #2567=CARTESIAN_POINT('',(2.623124606497E1,1.343368085131E1,3.733337148754E0)); #2568=CARTESIAN_POINT('',(2.662035241932E1,1.419280813800E1,-1.687491785768E0)); #2569=CARTESIAN_POINT('',(1.760869389571E1,-1.284824468763E1,1.711627329649E2)); #2570=CARTESIAN_POINT('',(1.778710460726E1,-1.241909503694E1,1.675798659024E2)); #2571=CARTESIAN_POINT('',(1.845144635888E1,-1.082523404785E1,1.542733557217E2)); #2572=CARTESIAN_POINT('',(1.936265569927E1,-8.649017389542E0,1.361059626844E2)); #2573=CARTESIAN_POINT('',(2.020765437357E1,-6.610772547321E0,1.190905443835E2)); #2574=CARTESIAN_POINT('',(2.092531943584E1,-4.863705539703E0,1.045057797523E2)); #2575=CARTESIAN_POINT('',(2.175243861083E1,-2.825460697483E0,8.748999234120E1)); #2576=CARTESIAN_POINT('',(2.254427041966E1,-7.872158552620E-1, 7.047278067386E1)); #2577=CARTESIAN_POINT('',(2.323292628293E1,9.598511523558E-1,5.588696575493E1)); #2578=CARTESIAN_POINT('',(2.406401880174E1,2.998095994576E0,3.887128704030E1)); #2579=CARTESIAN_POINT('',(2.517134877467E1,5.394942599241E0,1.886717112146E1)); #2580=CARTESIAN_POINT('',(2.621741635444E1,7.209433534699E0,3.731829021121E0)); #2581=CARTESIAN_POINT('',(2.662618673800E1,7.859213131746E0,-1.686836692891E0)); #2582=CARTESIAN_POINT('',(1.872710640298E1,-1.627627430830E1,1.711622605262E2)); #2583=CARTESIAN_POINT('',(1.887739963840E1,-1.593311978728E1,1.675789694355E2)); #2584=CARTESIAN_POINT('',(1.943837148377E1,-1.465864454672E1,1.542710573593E2)); #2585=CARTESIAN_POINT('',(2.021097916272E1,-1.291850894639E1,1.361024195122E2)); #2586=CARTESIAN_POINT('',(2.092098431252E1,-1.128869768720E1,1.190859486625E2)); #2587=CARTESIAN_POINT('',(2.151887864935E1,-9.891716607900E0,1.045001990051E2)); #2588=CARTESIAN_POINT('',(2.220003274943E1,-8.261905348713E0,8.748310502192E1)); #2589=CARTESIAN_POINT('',(2.282424579442E1,-6.632094089526E0,7.046360660235E1)); #2590=CARTESIAN_POINT('',(2.337532976885E1,-5.235113010223E0,5.587608384419E1)); #2591=CARTESIAN_POINT('',(2.406289540977E1,-3.605301751036E0,3.885917336281E1)); #2592=CARTESIAN_POINT('',(2.508123088263E1,-1.688747124277E0,1.885721693231E1)); #2593=CARTESIAN_POINT('',(2.618743022439E1,-2.378528572863E-1, 3.729307832679E0)); #2594=CARTESIAN_POINT('',(2.663870068840E1,2.817206901697E-1, -1.685741551767E0)); #2595=CARTESIAN_POINT('',(1.984609970946E1,-1.970966339877E1,1.711616344921E2)); #2596=CARTESIAN_POINT('',(1.996698066865E1,-1.945212272153E1,1.675777815169E2)); #2597=CARTESIAN_POINT('',(2.042006222889E1,-1.849561713447E1,1.542680117724E2)); #2598=CARTESIAN_POINT('',(2.104858780990E1,-1.718962909251E1,1.360977244133E2)); #2599=CARTESIAN_POINT('',(2.161705460660E1,-1.596644050033E1,1.190798588190E2)); #2600=CARTESIAN_POINT('',(2.208846106304E1,-1.491799313561E1,1.044928038920E2)); #2601=CARTESIAN_POINT('',(2.261411440593E1,-1.369480454344E1,8.747397855479E1)); #2602=CARTESIAN_POINT('',(2.305527019131E1,-1.247161595127E1,7.045144993314E1)); #2603=CARTESIAN_POINT('',(2.345721251010E1,-1.142316858655E1,5.586166409730E1)); #2604=CARTESIAN_POINT('',(2.399238024536E1,-1.019997999438E1,3.884312138743E1)); #2605=CARTESIAN_POINT('',(2.493303863703E1,-8.761587823187E0,1.884402652013E1)); #2606=CARTESIAN_POINT('',(2.614269495399E1,-7.672678106906E0,3.725966976458E0)); #2607=CARTESIAN_POINT('',(2.665769600486E1,-7.282733300438E0, -1.684290367486E0)); #2608=CARTESIAN_POINT('',(2.089871147332E1,-2.294364247940E1,1.711608813342E2)); #2609=CARTESIAN_POINT('',(2.099058151453E1,-2.276662191984E1,1.675763523768E2)); #2610=CARTESIAN_POINT('',(2.133748537905E1,-2.210916789719E1,1.542643477421E2)); #2611=CARTESIAN_POINT('',(2.182479920865E1,-2.121149714301E1,1.360920759175E2)); #2612=CARTESIAN_POINT('',(2.225332989306E1,-2.037073858262E1,1.190725323587E2)); #2613=CARTESIAN_POINT('',(2.259876997947E1,-1.965008838800E1,1.044839071112E2)); #2614=CARTESIAN_POINT('',(2.296822396496E1,-1.880932982761E1,8.746299884746E1)); #2615=CARTESIAN_POINT('',(2.322108290099E1,-1.796857126722E1,7.043682470435E1)); #2616=CARTESIAN_POINT('',(2.347067199710E1,-1.724792107260E1,5.584431624536E1)); #2617=CARTESIAN_POINT('',(2.385325454017E1,-1.640716251221E1,3.882380986314E1)); #2618=CARTESIAN_POINT('',(2.473274709595E1,-1.541848379262E1,1.882815763427E1)); #2619=CARTESIAN_POINT('',(2.608509866396E1,-1.467002180458E1,3.721947718732E0)); #2620=CARTESIAN_POINT('',(2.668227864326E1,-1.440199327961E1, -1.682544502579E0)); #2621=CARTESIAN_POINT('',(2.157720655120E1,-2.503122298968E1,1.711602870757E2)); #2622=CARTESIAN_POINT('',(2.164950238181E1,-2.490632220868E1,1.675752247533E2)); #2623=CARTESIAN_POINT('',(2.192491536851E1,-2.444244094481E1,1.542614567401E2)); #2624=CARTESIAN_POINT('',(2.231751235834E1,-2.380906943950E1,1.360876191278E2)); #2625=CARTESIAN_POINT('',(2.265142114949E1,-2.321585359448E1,1.190667516174E2)); #2626=CARTESIAN_POINT('',(2.291112152822E1,-2.270738287018E1,1.044768873518E2)); #2627=CARTESIAN_POINT('',(2.317343373910E1,-2.211416702516E1,8.745433561244E1)); #2628=CARTESIAN_POINT('',(2.329444139216E1,-2.152095118014E1,7.042528507124E1)); #2629=CARTESIAN_POINT('',(2.343797747523E1,-2.101248045584E1,5.583062840160E1)); #2630=CARTESIAN_POINT('',(2.371620052479E1,-2.041926461082E1,3.880857263792E1)); #2631=CARTESIAN_POINT('',(2.456401624488E1,-1.972168041754E1,1.881563672788E1)); #2632=CARTESIAN_POINT('',(2.603788450627E1,-1.919358646570E1,3.718776434358E0)); #2633=CARTESIAN_POINT('',(2.670250571059E1,-1.900447299672E1, -1.681166976063E0)); #2634=CARTESIAN_POINT('',(2.188340424305E1,-2.597402879908E1,1.711599945973E2)); #2635=CARTESIAN_POINT('',(2.194667345638E1,-2.587273199649E1,1.675746697667E2)); #2636=CARTESIAN_POINT('',(2.218914999589E1,-2.549651586372E1,1.542600338650E2)); #2637=CARTESIAN_POINT('',(2.253818683352E1,-2.498284006585E1,1.360854256132E2)); #2638=CARTESIAN_POINT('',(2.282841778857E1,-2.450173123803E1,1.190639064886E2)); #2639=CARTESIAN_POINT('',(2.304842174175E1,-2.408935224275E1,1.044734324109E2)); #2640=CARTESIAN_POINT('',(2.326095643741E1,-2.360824341492E1,8.745007179608E1)); #2641=CARTESIAN_POINT('',(2.332014811676E1,-2.312713458710E1,7.041960556741E1)); #2642=CARTESIAN_POINT('',(2.341409114337E1,-2.271475559182E1,5.582389160500E1)); #2643=CARTESIAN_POINT('',(2.364389220350E1,-2.223364676399E1,3.880107327653E1)); #2644=CARTESIAN_POINT('',(2.447912298413E1,-2.166789330957E1,1.880947426715E1)); #2645=CARTESIAN_POINT('',(2.601435013627E1,-2.123959952024E1,3.717215611620E0)); #2646=CARTESIAN_POINT('',(2.671260075272E1,-2.108622506109E1, -1.680488993751E0)); #2647=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#2166,#2167,#2168,#2169,#2170,#2171, #2172,#2173,#2174,#2175,#2176,#2177,#2178),(#2179,#2180,#2181,#2182,#2183,#2184, #2185,#2186,#2187,#2188,#2189,#2190,#2191),(#2192,#2193,#2194,#2195,#2196,#2197, #2198,#2199,#2200,#2201,#2202,#2203,#2204),(#2205,#2206,#2207,#2208,#2209,#2210, #2211,#2212,#2213,#2214,#2215,#2216,#2217),(#2218,#2219,#2220,#2221,#2222,#2223, #2224,#2225,#2226,#2227,#2228,#2229,#2230),(#2231,#2232,#2233,#2234,#2235,#2236, #2237,#2238,#2239,#2240,#2241,#2242,#2243),(#2244,#2245,#2246,#2247,#2248,#2249, #2250,#2251,#2252,#2253,#2254,#2255,#2256),(#2257,#2258,#2259,#2260,#2261,#2262, #2263,#2264,#2265,#2266,#2267,#2268,#2269),(#2270,#2271,#2272,#2273,#2274,#2275, #2276,#2277,#2278,#2279,#2280,#2281,#2282),(#2283,#2284,#2285,#2286,#2287,#2288, #2289,#2290,#2291,#2292,#2293,#2294,#2295),(#2296,#2297,#2298,#2299,#2300,#2301, #2302,#2303,#2304,#2305,#2306,#2307,#2308),(#2309,#2310,#2311,#2312,#2313,#2314, #2315,#2316,#2317,#2318,#2319,#2320,#2321),(#2322,#2323,#2324,#2325,#2326,#2327, #2328,#2329,#2330,#2331,#2332,#2333,#2334),(#2335,#2336,#2337,#2338,#2339,#2340, #2341,#2342,#2343,#2344,#2345,#2346,#2347),(#2348,#2349,#2350,#2351,#2352,#2353, #2354,#2355,#2356,#2357,#2358,#2359,#2360),(#2361,#2362,#2363,#2364,#2365,#2366, #2367,#2368,#2369,#2370,#2371,#2372,#2373),(#2374,#2375,#2376,#2377,#2378,#2379, #2380,#2381,#2382,#2383,#2384,#2385,#2386),(#2387,#2388,#2389,#2390,#2391,#2392, #2393,#2394,#2395,#2396,#2397,#2398,#2399),(#2400,#2401,#2402,#2403,#2404,#2405, #2406,#2407,#2408,#2409,#2410,#2411,#2412),(#2413,#2414,#2415,#2416,#2417,#2418, #2419,#2420,#2421,#2422,#2423,#2424,#2425),(#2426,#2427,#2428,#2429,#2430,#2431, #2432,#2433,#2434,#2435,#2436,#2437,#2438),(#2439,#2440,#2441,#2442,#2443,#2444, #2445,#2446,#2447,#2448,#2449,#2450,#2451),(#2452,#2453,#2454,#2455,#2456,#2457, #2458,#2459,#2460,#2461,#2462,#2463,#2464),(#2465,#2466,#2467,#2468,#2469,#2470, #2471,#2472,#2473,#2474,#2475,#2476,#2477),(#2478,#2479,#2480,#2481,#2482,#2483, #2484,#2485,#2486,#2487,#2488,#2489,#2490),(#2491,#2492,#2493,#2494,#2495,#2496, #2497,#2498,#2499,#2500,#2501,#2502,#2503),(#2504,#2505,#2506,#2507,#2508,#2509, #2510,#2511,#2512,#2513,#2514,#2515,#2516),(#2517,#2518,#2519,#2520,#2521,#2522, #2523,#2524,#2525,#2526,#2527,#2528,#2529),(#2530,#2531,#2532,#2533,#2534,#2535, #2536,#2537,#2538,#2539,#2540,#2541,#2542),(#2543,#2544,#2545,#2546,#2547,#2548, #2549,#2550,#2551,#2552,#2553,#2554,#2555),(#2556,#2557,#2558,#2559,#2560,#2561, #2562,#2563,#2564,#2565,#2566,#2567,#2568),(#2569,#2570,#2571,#2572,#2573,#2574, #2575,#2576,#2577,#2578,#2579,#2580,#2581),(#2582,#2583,#2584,#2585,#2586,#2587, #2588,#2589,#2590,#2591,#2592,#2593,#2594),(#2595,#2596,#2597,#2598,#2599,#2600, #2601,#2602,#2603,#2604,#2605,#2606,#2607),(#2608,#2609,#2610,#2611,#2612,#2613, #2614,#2615,#2616,#2617,#2618,#2619,#2620),(#2621,#2622,#2623,#2624,#2625,#2626, #2627,#2628,#2629,#2630,#2631,#2632,#2633),(#2634,#2635,#2636,#2637,#2638,#2639, #2640,#2641,#2642,#2643,#2644,#2645,#2646)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(4,1,1,1,1,1,1,1, 1,1,4),(4.397986815450E-2,7.485130955171E-2,1.122769643276E-1,1.497026191034E-1, 1.871282738793E-1,2.245539286551E-1,2.432667560431E-1,2.619795834310E-1, 2.806924108189E-1,2.900488245129E-1,2.994052382068E-1,3.119424108189E-1, 3.244795834310E-1,3.495539286551E-1,3.746282738793E-1,3.997026191034E-1, 4.498513095517E-1,5.E-1,5.501486904483E-1,6.002973808966E-1,6.253717261207E-1, 6.504460713449E-1,6.755204165690E-1,6.880575891811E-1,7.005947617932E-1, 7.099511754871E-1,7.193075891811E-1,7.380204165690E-1,7.567332439569E-1, 7.754460713449E-1,8.128717261207E-1,8.502973808966E-1,8.877230356724E-1, 9.251486904483E-1,9.560120208114E-1),(2.192331745880E-2,8.333333333333E-2, 2.5E-1,3.333333333333E-1,3.75E-1,5.E-1,6.25E-1,6.666666666667E-1,7.5E-1, 9.166666666667E-1,1.009648159966E0),.UNSPECIFIED.); #2648=ORIENTED_EDGE('',*,*,#2156,.T.); #2650=ORIENTED_EDGE('',*,*,#2649,.T.); #2652=ORIENTED_EDGE('',*,*,#2651,.F.); #2654=ORIENTED_EDGE('',*,*,#2653,.F.); #2655=EDGE_LOOP('',(#2648,#2650,#2652,#2654)); #2656=FACE_OUTER_BOUND('',#2655,.F.); #2658=CARTESIAN_POINT('',(2.652747176835E1,-1.917194020254E1, -2.297402656922E-1)); #2659=CARTESIAN_POINT('',(2.651540020336E1,-2.536298841124E1, -2.102447203847E-1)); #2660=CARTESIAN_POINT('',(2.719762133043E1,-3.179178383253E1, -1.892346618186E-1)); #2661=CARTESIAN_POINT('',(2.537446463389E1,-3.771794773006E1, -1.720627677885E-1)); #2662=CARTESIAN_POINT('',(2.561060212001E1,-1.917198118364E1,7.469755020144E0)); #2663=CARTESIAN_POINT('',(2.554726673272E1,-2.536195911180E1,6.835023404561E0)); #2664=CARTESIAN_POINT('',(2.628122176307E1,-3.175945965268E1,6.151101379580E0)); #2665=CARTESIAN_POINT('',(2.453577040347E1,-3.771891933585E1,5.592080472713E0)); #2666=CARTESIAN_POINT('',(2.497017042368E1,-1.917206456273E1,1.533601856869E1)); #2667=CARTESIAN_POINT('',(2.485783931385E1,-2.535944091067E1,1.411883702360E1)); #2668=CARTESIAN_POINT('',(2.560093451842E1,-3.173576041433E1,1.279537402726E1)); #2669=CARTESIAN_POINT('',(2.386744854140E1,-3.771963222239E1,1.171751521225E1)); #2670=CARTESIAN_POINT('',(2.452036658110E1,-1.917208018822E1,2.324927089671E1)); #2671=CARTESIAN_POINT('',(2.438974310509E1,-2.535901581969E1,2.155203370027E1)); #2672=CARTESIAN_POINT('',(2.514471855613E1,-3.172667332882E1,1.969275110435E1)); #2673=CARTESIAN_POINT('',(2.336905512874E1,-3.771990540442E1,1.819723159547E1)); #2674=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#2658,#2659,#2660,#2661),(#2662, #2663,#2664,#2665),(#2666,#2667,#2668,#2669),(#2670,#2671,#2672,#2673)), .UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(-9.601801608053E-3,9.559874625380E-1),( -1.018260156383E-2,1.010118187767E0),.UNSPECIFIED.); #2675=ORIENTED_EDGE('',*,*,#2161,.T.); #2676=ORIENTED_EDGE('',*,*,#2146,.T.); #2678=ORIENTED_EDGE('',*,*,#2677,.F.); #2679=ORIENTED_EDGE('',*,*,#2649,.F.); #2680=EDGE_LOOP('',(#2675,#2676,#2678,#2679)); #2681=FACE_OUTER_BOUND('',#2680,.F.); #2683=CARTESIAN_POINT('',(2.452745943632E1,-1.911232218315E1,2.278459621588E1)); #2684=CARTESIAN_POINT('',(2.451723455168E1,-1.960931317764E1,2.263974285251E1)); #2685=CARTESIAN_POINT('',(2.450810950019E1,-2.064643754612E1,2.233398855310E1)); #2686=CARTESIAN_POINT('',(2.452045621286E1,-2.222927806506E1,2.186932313581E1)); #2687=CARTESIAN_POINT('',(2.454731780643E1,-2.384318978464E1,2.139888617906E1)); #2688=CARTESIAN_POINT('',(2.457494625247E1,-2.544327865463E1,2.093596174549E1)); #2689=CARTESIAN_POINT('',(2.459132207512E1,-2.706983246070E1,2.046938597664E1)); #2690=CARTESIAN_POINT('',(2.458176436786E1,-2.879966783800E1,1.997963360843E1)); #2691=CARTESIAN_POINT('',(2.452001994403E1,-3.070392390121E1,1.945087739529E1)); #2692=CARTESIAN_POINT('',(2.436138047978E1,-3.282073816130E1,1.887894802548E1)); #2693=CARTESIAN_POINT('',(2.403624365902E1,-3.516951858456E1,1.826634631772E1)); #2694=CARTESIAN_POINT('',(2.365760545698E1,-3.680719684226E1,1.785760493904E1)); #2695=CARTESIAN_POINT('',(2.340817113282E1,-3.765852981994E1,1.764949331883E1)); #2696=CARTESIAN_POINT('',(2.463251617115E1,-1.938911633664E1,2.374317845087E1)); #2697=CARTESIAN_POINT('',(2.462383029125E1,-1.988782890654E1,2.359765967555E1)); #2698=CARTESIAN_POINT('',(2.461738669688E1,-2.092594947803E1,2.329131037969E1)); #2699=CARTESIAN_POINT('',(2.463169436454E1,-2.250689645142E1,2.282696977684E1)); #2700=CARTESIAN_POINT('',(2.465832456184E1,-2.412060322172E1,2.235661845595E1)); #2701=CARTESIAN_POINT('',(2.468352941477E1,-2.572105946684E1,2.189386745647E1)); #2702=CARTESIAN_POINT('',(2.469518867589E1,-2.734824118481E1,2.142763620593E1)); #2703=CARTESIAN_POINT('',(2.467809917089E1,-2.907829688237E1,2.093861488406E1)); #2704=CARTESIAN_POINT('',(2.460504686626E1,-3.098196532617E1,2.041111506185E1)); #2705=CARTESIAN_POINT('',(2.443024304027E1,-3.309684720245E1,1.984106806524E1)); #2706=CARTESIAN_POINT('',(2.408330538468E1,-3.544063790999E1,1.923124622370E1)); #2707=CARTESIAN_POINT('',(2.368754027105E1,-3.707172108487E1,1.882495489103E1)); #2708=CARTESIAN_POINT('',(2.342915342164E1,-3.791880034955E1,1.861817847422E1)); #2709=CARTESIAN_POINT('',(2.393693568918E1,-1.957535512403E1,2.444175895958E1)); #2710=CARTESIAN_POINT('',(2.392925293255E1,-2.008122551423E1,2.429533113716E1)); #2711=CARTESIAN_POINT('',(2.392469615486E1,-2.113027888433E1,2.398773265629E1)); #2712=CARTESIAN_POINT('',(2.394052302831E1,-2.271909454889E1,2.352252220073E1)); #2713=CARTESIAN_POINT('',(2.396704356692E1,-2.433578837283E1,2.305113783392E1)); #2714=CARTESIAN_POINT('',(2.399043458775E1,-2.593347532649E1,2.258743915659E1)); #2715=CARTESIAN_POINT('',(2.399857430914E1,-2.755184121396E1,2.212035524736E1)); #2716=CARTESIAN_POINT('',(2.397611024918E1,-2.926527845919E1,2.163067022032E1)); #2717=CARTESIAN_POINT('',(2.389572576090E1,-3.114151604868E1,2.110273432878E1)); #2718=CARTESIAN_POINT('',(2.371224775746E1,-3.321419084964E1,2.053252980448E1)); #2719=CARTESIAN_POINT('',(2.335758945361E1,-3.549573027020E1,1.992309260078E1)); #2720=CARTESIAN_POINT('',(2.296109734558E1,-3.707143564335E1,1.951763277118E1)); #2721=CARTESIAN_POINT('',(2.270464896796E1,-3.788715093478E1,1.931144863431E1)); #2722=CARTESIAN_POINT('',(2.293608910050E1,-1.953840498640E1,2.438283010478E1)); #2723=CARTESIAN_POINT('',(2.292815919200E1,-2.005177186144E1,2.423589699561E1)); #2724=CARTESIAN_POINT('',(2.292335085752E1,-2.111390862151E1,2.392728477247E1)); #2725=CARTESIAN_POINT('',(2.293917325573E1,-2.271475137316E1,2.346062927951E1)); #2726=CARTESIAN_POINT('',(2.296578396880E1,-2.433549696555E1,2.298782888864E1)); #2727=CARTESIAN_POINT('',(2.298926267420E1,-2.592925016570E1,2.252273632864E1)); #2728=CARTESIAN_POINT('',(2.299758638602E1,-2.753563484470E1,2.205420982114E1)); #2729=CARTESIAN_POINT('',(2.297573260727E1,-2.922745001763E1,2.156293900791E1)); #2730=CARTESIAN_POINT('',(2.289721338908E1,-3.106894893202E1,2.103318514201E1)); #2731=CARTESIAN_POINT('',(2.271872887591E1,-3.308920055216E1,2.046089537552E1)); #2732=CARTESIAN_POINT('',(2.237592851953E1,-3.529556057400E1,1.984917365397E1)); #2733=CARTESIAN_POINT('',(2.199562707744E1,-3.680654380040E1,1.944233461348E1)); #2734=CARTESIAN_POINT('',(2.175062764843E1,-3.758612131924E1,1.923557802949E1)); #2735=(BOUNDED_SURFACE()B_SPLINE_SURFACE(3,3,((#2683,#2684,#2685,#2686,#2687, #2688,#2689,#2690,#2691,#2692,#2693,#2694,#2695),(#2696,#2697,#2698,#2699,#2700, #2701,#2702,#2703,#2704,#2705,#2706,#2707,#2708),(#2709,#2710,#2711,#2712,#2713, #2714,#2715,#2716,#2717,#2718,#2719,#2720,#2721),(#2722,#2723,#2724,#2725,#2726, #2727,#2728,#2729,#2730,#2731,#2732,#2733,#2734)),.UNSPECIFIED.,.F.,.F.,.F.)B_SPLINE_SURFACE_WITH_KNOTS((4,4),(4,1,1,1,1,1,1,1,1,1,4),(0.E0,1.E0),( 2.367593944485E-3,8.482458905821E-2,1.738405428811E-1,2.623473895822E-1, 3.487307446715E-1,4.341749352360E-1,5.255893003266E-1,6.269883143445E-1, 7.384424927764E-1,8.616591667077E-1,9.985356198219E-1),.UNSPECIFIED.)GEOMETRIC_REPRESENTATION_ITEM()RATIONAL_B_SPLINE_SURFACE(((1.216607679640E0, 1.216607679640E0,1.216607679640E0,1.216607679640E0,1.216607679640E0, 1.216607679640E0,1.216607679640E0,1.216607679640E0,1.216607679640E0, 1.216607679640E0,1.216607679640E0,1.216607679640E0,1.216607679640E0),( 9.277974401201E-1,9.277974401201E-1,9.277974401201E-1,9.277974401201E-1, 9.277974401201E-1,9.277974401201E-1,9.277974401201E-1,9.277974401201E-1, 9.277974401201E-1,9.277974401201E-1,9.277974401201E-1,9.277974401201E-1, 9.277974401201E-1),(9.277974401201E-1,9.277974401201E-1,9.277974401201E-1, 9.277974401201E-1,9.277974401201E-1,9.277974401201E-1,9.277974401201E-1, 9.277974401201E-1,9.277974401201E-1,9.277974401201E-1,9.277974401201E-1, 9.277974401201E-1,9.277974401201E-1),(1.216607679640E0,1.216607679640E0, 1.216607679640E0,1.216607679640E0,1.216607679640E0,1.216607679640E0, 1.216607679640E0,1.216607679640E0,1.216607679640E0,1.216607679640E0, 1.216607679640E0,1.216607679640E0,1.216607679640E0)))REPRESENTATION_ITEM('')SURFACE()); #2737=ORIENTED_EDGE('',*,*,#2736,.F.); #2738=ORIENTED_EDGE('',*,*,#2677,.T.); #2739=ORIENTED_EDGE('',*,*,#2081,.T.); #2740=ORIENTED_EDGE('',*,*,#1940,.F.); #2741=EDGE_LOOP('',(#2737,#2738,#2739,#2740)); #2742=FACE_OUTER_BOUND('',#2741,.F.); #2744=CARTESIAN_POINT('',(-2.446189518055E1,-2.258372300306E1, 2.179661594142E1)); #2745=CARTESIAN_POINT('',(-2.447744672724E1,-2.183986020204E1, 2.200172937025E1)); #2746=CARTESIAN_POINT('',(-2.449878364812E1,-2.073314471542E1, 2.231868673433E1)); #2747=CARTESIAN_POINT('',(-2.452472414713E1,-1.925765292145E1, 2.274255966544E1)); #2748=CARTESIAN_POINT('',(-2.454305354977E1,-1.815924027586E1, 2.305354933211E1)); #2749=CARTESIAN_POINT('',(-2.456055274157E1,-1.704150099584E1, 2.336635668738E1)); #2750=CARTESIAN_POINT('',(-2.457599974341E1,-1.591749119821E1, 2.369380048638E1)); #2751=CARTESIAN_POINT('',(-2.458856394580E1,-1.479156219413E1, 2.404825000844E1)); #2752=CARTESIAN_POINT('',(-2.459756866534E1,-1.365978304701E1, 2.444404703390E1)); #2753=CARTESIAN_POINT('',(-2.460210848875E1,-1.253794085877E1, 2.489055639247E1)); #2754=CARTESIAN_POINT('',(-2.460227911708E1,-1.145853125658E1, 2.537279778923E1)); #2755=CARTESIAN_POINT('',(-2.459860504206E1,-1.040365254683E1, 2.589194218011E1)); #2756=CARTESIAN_POINT('',(-2.459092424821E1,-9.332117171971E0, 2.646872926403E1)); #2757=CARTESIAN_POINT('',(-2.457805466979E1,-8.192789310098E0, 2.714167960333E1)); #2758=CARTESIAN_POINT('',(-2.455852596713E1,-6.974712369750E0, 2.793514823589E1)); #2759=CARTESIAN_POINT('',(-2.453051644069E1,-5.675051093646E0, 2.887483507430E1)); #2760=CARTESIAN_POINT('',(-2.449137380152E1,-4.282084181419E0, 3.000144238367E1)); #2761=CARTESIAN_POINT('',(-2.443773241594E1,-2.795686815840E0, 3.135962673126E1)); #2762=CARTESIAN_POINT('',(-2.436725688282E1,-1.269440659077E0, 3.295801830732E1)); #2763=CARTESIAN_POINT('',(-2.427987890184E1,2.187631772477E-1, 3.476611148398E1)); #2764=CARTESIAN_POINT('',(-2.417786326055E1,1.597616645993E0,3.672665943249E1)); #2765=CARTESIAN_POINT('',(-2.406367128467E1,2.825810788194E0,3.879626900403E1)); #2766=CARTESIAN_POINT('',(-2.394249392073E1,3.863813214928E0,4.089583290287E1)); #2767=CARTESIAN_POINT('',(-2.382191064389E1,4.685517596293E0,4.291923228547E1)); #2768=CARTESIAN_POINT('',(-2.370833454610E1,5.302878695599E0,4.478679011139E1)); #2769=CARTESIAN_POINT('',(-2.360440935289E1,5.753450787561E0,4.647624134144E1)); #2770=CARTESIAN_POINT('',(-2.350915296197E1,6.078202979972E0,4.801722009392E1)); #2771=CARTESIAN_POINT('',(-2.342181477007E1,6.305804730188E0,4.943006662176E1)); #2772=CARTESIAN_POINT('',(-2.334200551358E1,6.457235794335E0,5.072567989083E1)); #2773=CARTESIAN_POINT('',(-2.326987788567E1,6.548176334010E0,5.190403629087E1)); #2774=CARTESIAN_POINT('',(-2.320534763548E1,6.592607982287E0,5.296714933682E1)); #2775=CARTESIAN_POINT('',(-2.314725201189E1,6.602528749179E0,5.393363242072E1)); #2776=CARTESIAN_POINT('',(-2.309352971003E1,6.584581187948E0,5.483779837767E1)); #2777=CARTESIAN_POINT('',(-2.304208781494E1,6.540946534658E0,5.571551999212E1)); #2778=CARTESIAN_POINT('',(-2.299172013594E1,6.469987242687E0,5.658949394104E1)); #2779=CARTESIAN_POINT('',(-2.294234052524E1,6.375098525045E0,5.746078639248E1)); #2780=CARTESIAN_POINT('',(-2.289468069083E1,6.263191332979E0,5.831450298299E1)); #2781=CARTESIAN_POINT('',(-2.284941964681E1,6.142264557107E0,5.913541560550E1)); #2782=CARTESIAN_POINT('',(-2.280608963106E1,6.017484495872E0,5.992834315846E1)); #2783=CARTESIAN_POINT('',(-2.276286102497E1,5.888503340559E0,6.072374942635E1)); #2784=CARTESIAN_POINT('',(-2.271765540144E1,5.754782674290E0,6.155616838127E1)); #2785=CARTESIAN_POINT('',(-2.266858940875E1,5.613733149395E0,6.245824186874E1)); #2786=CARTESIAN_POINT('',(-2.261501066502E1,5.461386034596E0,6.344352997285E1)); #2787=CARTESIAN_POINT('',(-2.255693028489E1,5.295149484383E0,6.451400208522E1)); #2788=CARTESIAN_POINT('',(-2.249475620061E1,5.115414234372E0,6.566303951198E1)); #2789=CARTESIAN_POINT('',(-2.242836354392E1,4.922285166277E0,6.689283754448E1)); #2790=CARTESIAN_POINT('',(-2.235708209841E1,4.714123828347E0,6.821591099414E1)); #2791=CARTESIAN_POINT('',(-2.227991900112E1,4.488888148608E0,6.965032619114E1)); #2792=CARTESIAN_POINT('',(-2.219609718577E1,4.244589464165E0,7.121062237355E1)); #2793=CARTESIAN_POINT('',(-2.210520514325E1,3.979657690174E0,7.290514028302E1)); #2794=CARTESIAN_POINT('',(-2.200709853066E1,3.693162604239E0,7.473740290931E1)); #2795=CARTESIAN_POINT('',(-2.190176474547E1,3.384733859316E0,7.670828956522E1)); #2796=CARTESIAN_POINT('',(-2.178876687675E1,3.053279592181E0,7.882599189026E1)); #2797=CARTESIAN_POINT('',(-2.166709993059E1,2.696052249447E0,8.110920165299E1)); #2798=CARTESIAN_POINT('',(-2.153539940327E1,2.309072648973E0,8.358340144487E1)); #2799=CARTESIAN_POINT('',(-2.139334358992E1,1.891429277321E0,8.625431488893E1)); #2800=CARTESIAN_POINT('',(-2.124006449963E1,1.440699855879E0,8.913717019233E1)); #2801=CARTESIAN_POINT('',(-2.107357743683E1,9.510011206714E-1, 9.226938415645E1)); #2802=CARTESIAN_POINT('',(-2.089249763327E1,4.180028936699E-1, 9.567886958454E1)); #2803=CARTESIAN_POINT('',(-2.070047372856E1,-1.478843029854E-1, 9.929924544289E1)); #2804=CARTESIAN_POINT('',(-2.050633290829E1,-7.210145700244E-1, 1.029667130085E2)); #2805=CARTESIAN_POINT('',(-2.031962584466E1,-1.273418247975E0, 1.065025589680E2)); #2806=CARTESIAN_POINT('',(-2.014735397419E1,-1.784402092970E0, 1.097744632609E2)); #2807=CARTESIAN_POINT('',(-1.999302728857E1,-2.243399327510E0, 1.127145365977E2)); #2808=CARTESIAN_POINT('',(-1.985713873613E1,-2.648648019258E0, 1.153108254771E2)); #2809=CARTESIAN_POINT('',(-1.973758527946E1,-3.006098864343E0, 1.176011455022E2)); #2810=CARTESIAN_POINT('',(-1.963117736701E1,-3.325070716473E0, 1.196448799196E2)); #2811=CARTESIAN_POINT('',(-1.953529319029E1,-3.613102476338E0, 1.214908336605E2)); #2812=CARTESIAN_POINT('',(-1.944806424605E1,-3.875503543948E0, 1.231736198341E2)); #2813=CARTESIAN_POINT('',(-1.936827895413E1,-4.115673499196E0, 1.247154794817E2)); #2814=CARTESIAN_POINT('',(-1.929494459944E1,-4.336897532160E0, 1.261357382659E2)); #2815=CARTESIAN_POINT('',(-1.922709164175E1,-4.542339426963E0, 1.274533225636E2)); #2816=CARTESIAN_POINT('',(-1.916450531725E1,-4.732810098518E0, 1.286724670339E2)); #2817=CARTESIAN_POINT('',(-1.910738770778E1,-4.907525945269E0, 1.297885659039E2)); #2818=CARTESIAN_POINT('',(-1.905549976235E1,-5.066347027304E0, 1.308039574186E2)); #2819=CARTESIAN_POINT('',(-1.900756619461E1,-5.212724468419E0, 1.317422319008E2)); #2820=CARTESIAN_POINT('',(-1.896161011745E1,-5.352433479518E0, 1.326412668776E2)); #2821=CARTESIAN_POINT('',(-1.891597554769E1,-5.490041095406E0, 1.335322432566E2)); #2822=CARTESIAN_POINT('',(-1.886950960674E1,-5.629335684653E0, 1.344383740614E2)); #2823=CARTESIAN_POINT('',(-1.882258445744E1,-5.772219966478E0, 1.353597140991E2)); #2824=CARTESIAN_POINT('',(-1.877736431167E1,-5.916972900261E0, 1.362658885139E2)); #2825=CARTESIAN_POINT('',(-1.873587804236E1,-6.051862259274E0, 1.371036052665E2)); #2826=CARTESIAN_POINT('',(-1.869748035521E1,-6.168616758210E0, 1.378598231463E2)); #2827=CARTESIAN_POINT('',(-1.866027043334E1,-6.269391928808E0, 1.385622417759E2)); #2828=CARTESIAN_POINT('',(-1.862154478212E1,-6.356274603727E0, 1.392480475714E2)); #2829=CARTESIAN_POINT('',(-1.857932229775E1,-6.426394084593E0, 1.399328675462E2)); #2830=CARTESIAN_POINT('',(-1.853247738619E1,-6.473602705369E0, 1.406131795473E2)); #2831=CARTESIAN_POINT('',(-1.848025642563E1,-6.498601569689E0, 1.412980732735E2)); #2832=CARTESIAN_POINT('',(-1.842136447865E1,-6.502934006225E0, 1.420050570587E2)); #2833=CARTESIAN_POINT('',(-1.835212318258E1,-6.484743209280E0, 1.427703378200E2)); #2834=CARTESIAN_POINT('',(-1.826703015538E1,-6.435419984635E0, 1.436320069499E2)); #2835=CARTESIAN_POINT('',(-1.816222767664E1,-6.342744234762E0, 1.445963589292E2)); #2836=CARTESIAN_POINT('',(-1.803729960471E1,-6.197197937392E0, 1.456344254355E2)); #2837=CARTESIAN_POINT('',(-1.789570623239E1,-5.997848271660E0, 1.466957919243E2)); #2838=CARTESIAN_POINT('',(-1.774264970968E1,-5.752091636596E0, 1.477352924346E2)); #2839=CARTESIAN_POINT('',(-1.758448945480E1,-5.473304478599E0, 1.487151736642E2)); #2840=CARTESIAN_POINT('',(-1.742719762456E1,-5.176777396906E0, 1.496118179477E2)); #2841=CARTESIAN_POINT('',(-1.727474833515E1,-4.874938670815E0, 1.504188852502E2)); #2842=CARTESIAN_POINT('',(-1.712861285923E1,-4.574854417313E0, 1.511435354959E2)); #2843=CARTESIAN_POINT('',(-1.698906665049E1,-4.280154232913E0, 1.517962346960E2)); #2844=CARTESIAN_POINT('',(-1.685665459936E1,-3.994234622437E0, 1.523840069366E2)); #2845=CARTESIAN_POINT('',(-1.673273317585E1,-3.721780830326E0, 1.529088300619E2)); #2846=CARTESIAN_POINT('',(-1.661740973471E1,-3.464443464593E0, 1.533772136984E2)); #2847=CARTESIAN_POINT('',(-1.650827516E1,-3.217802026621E0,1.538039572055E2)); #2848=CARTESIAN_POINT('',(-1.640056166829E1,-2.971605520810E0, 1.542104320883E2)); #2849=CARTESIAN_POINT('',(-1.629085188901E1,-2.718038650879E0, 1.546096659389E2)); #2850=CARTESIAN_POINT('',(-1.617750987327E1,-2.454269881498E0, 1.550090215783E2)); #2851=CARTESIAN_POINT('',(-1.606160328182E1,-2.184001179111E0, 1.554068783117E2)); #2852=CARTESIAN_POINT('',(-1.594362245713E1,-1.909499565128E0, 1.558034788979E2)); #2853=CARTESIAN_POINT('',(-1.582389095923E1,-1.632623323823E0, 1.561998960193E2)); #2854=CARTESIAN_POINT('',(-1.570268358495E1,-1.354643598961E0, 1.565953232094E2)); #2855=CARTESIAN_POINT('',(-1.558098573304E1,-1.077396020128E0, 1.569905563909E2)); #2856=CARTESIAN_POINT('',(-1.545958584145E1,-8.015085304533E-1, 1.573857004749E2)); #2857=CARTESIAN_POINT('',(-1.533799581800E1,-5.240587217536E-1, 1.577812054625E2)); #2858=CARTESIAN_POINT('',(-1.521120854312E1,-2.380697179247E-1, 1.581755088080E2)); #2859=CARTESIAN_POINT('',(-1.507677491399E1,5.496467500684E-2, 1.585685178770E2)); #2860=CARTESIAN_POINT('',(-1.492922644588E1,3.598989082149E-1, 1.589714661879E2)); #2861=CARTESIAN_POINT('',(-1.475673938978E1,6.941131911675E-1, 1.594081283547E2)); #2862=CARTESIAN_POINT('',(-1.454474050308E1,1.070692769806E0,1.598905697936E2)); #2863=CARTESIAN_POINT('',(-1.429767517959E1,1.464987163823E0,1.604026632370E2)); #2864=CARTESIAN_POINT('',(-1.402847931041E1,1.855730080847E0,1.609168975382E2)); #2865=CARTESIAN_POINT('',(-1.374286300516E1,2.237059625084E0,1.614210660274E2)); #2866=CARTESIAN_POINT('',(-1.343381520118E1,2.618018791502E0,1.619241662364E2)); #2867=CARTESIAN_POINT('',(-1.309029531048E1,3.008957110918E0,1.624395198809E2)); #2868=CARTESIAN_POINT('',(-1.269813645684E1,3.419875350670E0,1.629791807626E2)); #2869=CARTESIAN_POINT('',(-1.224057057329E1,3.858667371485E0,1.635527348046E2)); #2870=CARTESIAN_POINT('',(-1.169924109634E1,4.330076451065E0,1.641662801653E2)); #2871=CARTESIAN_POINT('',(-1.105267342648E1,4.836278622398E0,1.648213570058E2)); #2872=CARTESIAN_POINT('',(-1.027640057751E1,5.375003164779E0,1.655146741010E2)); #2873=CARTESIAN_POINT('',(-9.344391875409E0,5.937713404816E0,1.662359271582E2)); #2874=CARTESIAN_POINT('',(-8.228960462292E0,6.508219759163E0,1.669661566484E2)); #2875=CARTESIAN_POINT('',(-6.898129529254E0,7.064333873008E0,1.676809820721E2)); #2876=CARTESIAN_POINT('',(-5.307190619357E0,7.577736995798E0,1.683450315773E2)); #2877=CARTESIAN_POINT('',(-3.388746104721E0,8.003284784271E0,1.689011035820E2)); #2878=CARTESIAN_POINT('',(-1.157785083576E0,8.258184570949E0,1.692378626632E2)); #2879=CARTESIAN_POINT('',(1.218385760891E0,8.254519599608E0,1.692330312642E2)); #2880=CARTESIAN_POINT('',(3.441980055566E0,7.994109323612E0,1.688890147265E2)); #2881=CARTESIAN_POINT('',(5.350887149750E0,7.565626764990E0,1.683293105060E2)); #2882=CARTESIAN_POINT('',(6.933891733436E0,7.050967746258E0,1.676637530780E2)); #2883=CARTESIAN_POINT('',(8.258719684653E0,6.494311917670E0,1.669483281803E2)); #2884=CARTESIAN_POINT('',(9.369078482494E0,5.923868458517E0,1.662182093489E2)); #2885=CARTESIAN_POINT('',(1.029674359047E1,5.361735802432E0,1.654976364223E2)); #2886=CARTESIAN_POINT('',(1.106931143544E1,4.823941680318E0,1.648054375592E2)); #2887=CARTESIAN_POINT('',(1.171299538341E1,4.318667873095E0,1.641514715934E2)); #2888=CARTESIAN_POINT('',(1.225215437201E1,3.848047701783E0,1.635388795403E2)); #2889=CARTESIAN_POINT('',(1.270820333636E1,3.409761830059E0,1.629659328441E2)); #2890=CARTESIAN_POINT('',(1.309947801307E1,2.998924221847E0,1.624263166298E2)); #2891=CARTESIAN_POINT('',(1.344275519578E1,2.607443105861E0,1.619102079410E2)); #2892=CARTESIAN_POINT('',(1.375212697753E1,2.225201473158E0,1.614054052614E2)); #2893=CARTESIAN_POINT('',(1.403833495231E1,1.842055768884E0,1.608988095170E2)); #2894=CARTESIAN_POINT('',(1.430773831386E1,1.449704353907E0,1.603826479060E2)); #2895=CARTESIAN_POINT('',(1.455390883342E1,1.055206740010E0,1.598707204829E2)); #2896=CARTESIAN_POINT('',(1.476392809268E1,6.806330969408E-1,1.593906629013E2)); #2897=CARTESIAN_POINT('',(1.493469548768E1,3.488887299833E-1,1.589569758755E2)); #2898=CARTESIAN_POINT('',(1.508125692338E1,4.541215047583E-2,1.585558336072E2)); #2899=CARTESIAN_POINT('',(1.521518280983E1,-2.469224912501E-1, 1.581634524475E2)); #2900=CARTESIAN_POINT('',(1.534168890821E1,-5.324760461284E-1, 1.577694227627E2)); #2901=CARTESIAN_POINT('',(1.546308063787E1,-8.094608312050E-1, 1.573742297175E2)); #2902=CARTESIAN_POINT('',(1.558447648794E1,-1.085333761409E0,1.569792437539E2)); #2903=CARTESIAN_POINT('',(1.570619416365E1,-1.362662078419E0,1.565839076769E2)); #2904=CARTESIAN_POINT('',(1.582743949395E1,-1.640799135374E0,1.561882397955E2)); #2905=CARTESIAN_POINT('',(1.594716662924E1,-1.917726426640E0,1.557916619630E2)); #2906=CARTESIAN_POINT('',(1.606506380591E1,-2.192068085264E0,1.553951302320E2)); #2907=CARTESIAN_POINT('',(1.618083155650E1,-2.462012600462E0,1.549974720702E2)); #2908=CARTESIAN_POINT('',(1.629396744041E1,-2.725269387513E0,1.545985120930E2)); #2909=CARTESIAN_POINT('',(1.640340495609E1,-2.978137084146E0,1.541998741261E2)); #2910=CARTESIAN_POINT('',(1.651069355289E1,-3.223292320533E0,1.537946387192E2)); #2911=CARTESIAN_POINT('',(1.661888611643E1,-3.467745408280E0,1.533712620723E2)); #2912=CARTESIAN_POINT('',(1.673219945913E1,-3.720568082272E0,1.529108879264E2)); #2913=CARTESIAN_POINT('',(1.685224644901E1,-3.984560054025E0,1.524027737084E2)); #2914=CARTESIAN_POINT('',(1.697845268289E1,-4.257343495524E0,1.518439067060E2)); #2915=CARTESIAN_POINT('',(1.710945145340E1,-4.534668507224E0,1.512345493256E2)); #2916=CARTESIAN_POINT('',(1.724524370307E1,-4.814912930461E0,1.505678226969E2)); #2917=CARTESIAN_POINT('',(1.738628107621E1,-5.096735643064E0,1.498327258376E2)); #2918=CARTESIAN_POINT('',(1.753233505198E1,-5.376524189218E0,1.490188897350E2)); #2919=CARTESIAN_POINT('',(1.768140310382E1,-5.646347928099E0,1.481234269746E2)); #2920=CARTESIAN_POINT('',(1.782955341673E1,-5.894561362149E0,1.471558593404E2)); #2921=CARTESIAN_POINT('',(1.797182557817E1,-6.108627687712E0,1.461376751479E2)); #2922=CARTESIAN_POINT('',(1.810334267235E1,-6.278224202370E0,1.450989700100E2)); #2923=CARTESIAN_POINT('',(1.821846873043E1,-6.396474781170E0,1.440912472037E2)); #2924=CARTESIAN_POINT('',(1.831376603325E1,-6.465891087590E0,1.431687957552E2)); #2925=CARTESIAN_POINT('',(1.839030766917E1,-6.497504557136E0,1.423561617135E2)); #2926=CARTESIAN_POINT('',(1.845332069121E1,-6.503184906185E0,1.416286757436E2)); #2927=CARTESIAN_POINT('',(1.850814769331E1,-6.488138476050E0,1.409400807458E2)); #2928=CARTESIAN_POINT('',(1.855745686012E1,-6.452129517820E0,1.402601149493E2)); #2929=CARTESIAN_POINT('',(1.860172664150E1,-6.392490478500E0,1.395779957032E2)); #2930=CARTESIAN_POINT('',(1.864198439896E1,-6.313008354530E0,1.388926397202E2)); #2931=CARTESIAN_POINT('',(1.867957727855E1,-6.218875729561E0,1.382021882954E2)); #2932=CARTESIAN_POINT('',(1.871708410897E1,-6.110356619292E0,1.374770064108E2)); #2933=CARTESIAN_POINT('',(1.875694525965E1,-5.983996951931E0,1.366796085103E2)); #2934=CARTESIAN_POINT('',(1.880041833210E1,-5.841966370577E0,1.358007847553E2)); #2935=CARTESIAN_POINT('',(1.884697464988E1,-5.697497130858E0,1.348796045371E2)); #2936=CARTESIAN_POINT('',(1.889385912306E1,-5.556237363404E0,1.339631766589E2)); #2937=CARTESIAN_POINT('',(1.893986260102E1,-5.418225730286E0,1.330662698041E2)); #2938=CARTESIAN_POINT('',(1.898546007317E1,-5.280006329148E0,1.321747611822E2)); #2939=CARTESIAN_POINT('',(1.903202053594E1,-5.138112458908E0,1.312635797858E2)); #2940=CARTESIAN_POINT('',(1.908124386399E1,-4.987562591537E0,1.303000622852E2)); #2941=CARTESIAN_POINT('',(1.913481353263E1,-4.823581088333E0,1.292523649613E2)); #2942=CARTESIAN_POINT('',(1.919317005674E1,-4.645433003963E0,1.281135882372E2)); #2943=CARTESIAN_POINT('',(1.925617222952E1,-4.454200355227E0,1.268882290841E2)); #2944=CARTESIAN_POINT('',(1.932343472999E1,-4.250878658441E0,1.255836007018E2)); #2945=CARTESIAN_POINT('',(1.939555794818E1,-4.033535078542E0,1.241880407682E2)); #2946=CARTESIAN_POINT('',(1.947336605722E1,-3.799368339219E0,1.226852339123E2)); #2947=CARTESIAN_POINT('',(1.955772789841E1,-3.545675033824E0,1.210586337826E2)); #2948=CARTESIAN_POINT('',(1.964947433151E1,-3.270180727532E0,1.192931895374E2)); #2949=CARTESIAN_POINT('',(1.974925866631E1,-2.971180934300E0,1.173774031903E2)); #2950=CARTESIAN_POINT('',(1.985752492074E1,-2.647529954239E0,1.153036675711E2)); #2951=CARTESIAN_POINT('',(1.997458609540E1,-2.298385385411E0,1.130668067450E2)); #2952=CARTESIAN_POINT('',(2.010093681794E1,-1.922394324158E0,1.106583217544E2)); #2953=CARTESIAN_POINT('',(2.023766502555E1,-1.516434171475E0,1.080585245435E2)); #2954=CARTESIAN_POINT('',(2.038649690398E1,-1.075484862065E0,1.052355414398E2)); #2955=CARTESIAN_POINT('',(2.054903742048E1,-5.948947311363E-1, 1.021596160739E2)); #2956=CARTESIAN_POINT('',(2.072472008022E1,-7.640717965634E-2, 9.884192409811E1)); #2957=CARTESIAN_POINT('',(2.091037214280E1,4.706394335352E-1,9.534212907594E1)); #2958=CARTESIAN_POINT('',(2.110000625273E1,1.028767879286E0,9.177193439504E1)); #2959=CARTESIAN_POINT('',(2.128589572434E1,1.575475217151E0,8.827513006646E1)); #2960=CARTESIAN_POINT('',(2.145970402436E1,2.086558600501E0,8.500632100618E1)); #2961=CARTESIAN_POINT('',(2.161649070920E1,2.547391635923E0,8.205956906825E1)); #2962=CARTESIAN_POINT('',(2.175576801964E1,2.956429904373E0,7.944487520339E1)); #2963=CARTESIAN_POINT('',(2.188061374126E1,3.322744131412E0,7.710435286342E1)); #2964=CARTESIAN_POINT('',(2.199395798044E1,3.654743267802E0,7.498301232269E1)); #2965=CARTESIAN_POINT('',(2.209750389369E1,3.957206368579E0,7.304879894417E1)); #2966=CARTESIAN_POINT('',(2.219189399921E1,4.232340420082E0,7.128890189829E1)); #2967=CARTESIAN_POINT('',(2.227767237771E1,4.482335123701E0,6.969210800521E1)); #2968=CARTESIAN_POINT('',(2.235572169412E1,4.710148744082E0,6.824118052825E1)); #2969=CARTESIAN_POINT('',(2.242732133789E1,4.919248710025E0,6.691216065683E1)); #2970=CARTESIAN_POINT('',(2.249392186544E1,5.112998897682E0,6.567847136632E1)); #2971=CARTESIAN_POINT('',(2.255644064104E1,5.293748920338E0,6.452302860037E1)); #2972=CARTESIAN_POINT('',(2.261499408067E1,5.461344620874E0,6.344382614477E1)); #2973=CARTESIAN_POINT('',(2.266900799867E1,5.614922744267E0,6.245054676342E1)); #2974=CARTESIAN_POINT('',(2.271834644910E1,5.756780480027E0,6.154346312021E1)); #2975=CARTESIAN_POINT('',(2.276366800806E1,5.890919387738E0,6.070888165179E1)); #2976=CARTESIAN_POINT('',(2.280692204798E1,6.019934882169E0,5.991306281824E1)); #2977=CARTESIAN_POINT('',(2.285025403120E1,6.144591795169E0,5.912020872170E1)); #2978=CARTESIAN_POINT('',(2.289553474511E1,6.265348876708E0,5.829910231803E1)); #2979=CARTESIAN_POINT('',(2.294322777171E1,6.377004463219E0,5.744500862556E1)); #2980=CARTESIAN_POINT('',(2.299263325178E1,6.471519678812E0,5.657351343824E1)); #2981=CARTESIAN_POINT('',(2.304302579882E1,6.541996955931E0,5.569938949828E1)); #2982=CARTESIAN_POINT('',(2.309450905994E1,6.585156190497E0,5.482120918751E1)); #2983=CARTESIAN_POINT('',(2.314830475589E1,6.602614031828E0,5.391602316030E1)); #2984=CARTESIAN_POINT('',(2.320648395713E1,6.592119440028E0,5.294834432334E1)); #2985=CARTESIAN_POINT('',(2.327104821924E1,6.547022435051E0,5.188484765575E1)); #2986=CARTESIAN_POINT('',(2.334305380541E1,6.455523783249E0,5.070862678332E1)); #2987=CARTESIAN_POINT('',(2.342244101402E1,6.304251414687E0,4.941993702308E1)); #2988=CARTESIAN_POINT('',(2.350884646971E1,6.078803065500E0,4.802217758202E1)); #2989=CARTESIAN_POINT('',(2.360237514557E1,5.760645689403E0,4.650915000229E1)); #2990=CARTESIAN_POINT('',(2.370343015838E1,5.325424739693E0,4.486667496520E1)); #2991=CARTESIAN_POINT('',(2.381237665581E1,4.740710840705E0,4.307670316817E1)); #2992=CARTESIAN_POINT('',(2.392729393570E1,3.974749905894E0,4.115293481149E1)); #2993=CARTESIAN_POINT('',(2.404352447009E1,3.011684653335E0,3.914991660666E1)); #2994=CARTESIAN_POINT('',(2.415536069169E1,1.859725163422E0,3.714218821957E1)); #2995=CARTESIAN_POINT('',(2.425816741290E1,5.383968845985E-1,3.519414112355E1)); #2996=CARTESIAN_POINT('',(2.434904201815E1,-9.277573904496E-1, 3.334836045819E1)); #2997=CARTESIAN_POINT('',(2.442471587321E1,-2.480211971502E0,3.166940737635E1)); #2998=CARTESIAN_POINT('',(2.448333678300E1,-4.029180734420E0,3.021819127673E1)); #2999=CARTESIAN_POINT('',(2.452613575122E1,-5.495165811704E0,2.901148547802E1)); #3000=CARTESIAN_POINT('',(2.455628985380E1,-6.852262373623E0,2.801843549086E1)); #3001=CARTESIAN_POINT('',(2.457696165778E1,-8.110025297914E0,2.719258461164E1)); #3002=CARTESIAN_POINT('',(2.459040181213E1,-9.273582196440E0,2.650153706967E1)); #3003=CARTESIAN_POINT('',(2.459836105478E1,-1.035696371762E1,2.591593354743E1)); #3004=CARTESIAN_POINT('',(2.460220784376E1,-1.141616046231E1,2.539269985250E1)); #3005=CARTESIAN_POINT('',(2.460219277701E1,-1.249678091281E1,2.490797577080E1)); #3006=CARTESIAN_POINT('',(2.459781111181E1,-1.361996969708E1,2.445886275790E1)); #3007=CARTESIAN_POINT('',(2.458892617546E1,-1.475415261354E1,2.406059574263E1)); #3008=CARTESIAN_POINT('',(2.457643898357E1,-1.588256858664E1,2.370431053407E1)); #3009=CARTESIAN_POINT('',(2.456102951574E1,-1.700941008142E1,2.337544559113E1)); #3010=CARTESIAN_POINT('',(2.454352624852E1,-1.813030705871E1,2.306162862627E1)); #3011=CARTESIAN_POINT('',(2.452517590510E1,-1.923120178200E1,2.275013989630E1)); #3012=CARTESIAN_POINT('',(2.449908145648E1,-2.071754887803E1,2.232316761977E1)); #3013=CARTESIAN_POINT('',(2.447756147610E1,-2.183425801536E1,2.200336264692E1)); #3014=CARTESIAN_POINT('',(2.446178089459E1,-2.258777519007E1,2.179604014293E1)); #3015=CARTESIAN_POINT('',(-2.461486633223E1,-2.301734733567E1, 2.324836253185E1)); #3016=CARTESIAN_POINT('',(-2.463242089096E1,-2.226359952934E1, 2.345594385878E1)); #3017=CARTESIAN_POINT('',(-2.465624027318E1,-2.115724655251E1, 2.377248565449E1)); #3018=CARTESIAN_POINT('',(-2.468521673659E1,-1.967986602898E1, 2.419659666757E1)); #3019=CARTESIAN_POINT('',(-2.470577385683E1,-1.857126712784E1, 2.451024988848E1)); #3020=CARTESIAN_POINT('',(-2.472509450521E1,-1.745886165065E1, 2.482135183439E1)); #3021=CARTESIAN_POINT('',(-2.474202201697E1,-1.635813132837E1, 2.514183948682E1)); #3022=CARTESIAN_POINT('',(-2.475590826327E1,-1.526991393483E1, 2.548426388761E1)); #3023=CARTESIAN_POINT('',(-2.476622877532E1,-1.419093657382E1, 2.586143448346E1)); #3024=CARTESIAN_POINT('',(-2.477228044869E1,-1.312997462209E1, 2.628343201966E1)); #3025=CARTESIAN_POINT('',(-2.477417062981E1,-1.210321719063E1, 2.674175469989E1)); #3026=CARTESIAN_POINT('',(-2.477236231328E1,-1.109772618562E1, 2.723629564109E1)); #3027=CARTESIAN_POINT('',(-2.476677421493E1,-1.007647210697E1, 2.778569809141E1)); #3028=CARTESIAN_POINT('',(-2.475637304986E1,-8.990832040256E0, 2.842658464030E1)); #3029=CARTESIAN_POINT('',(-2.473979554664E1,-7.830485124567E0, 2.918205810991E1)); #3030=CARTESIAN_POINT('',(-2.471535742957E1,-6.593231976310E0, 3.007616040316E1)); #3031=CARTESIAN_POINT('',(-2.468057956833E1,-5.266628963443E0, 3.114852599012E1)); #3032=CARTESIAN_POINT('',(-2.463232947638E1,-3.850588479931E0, 3.244175671796E1)); #3033=CARTESIAN_POINT('',(-2.456844161313E1,-2.396879465174E0, 3.396333858288E1)); #3034=CARTESIAN_POINT('',(-2.448879672792E1,-9.787555673674E-1, 3.568523999947E1)); #3035=CARTESIAN_POINT('',(-2.439554512256E1,3.351123825184E-1, 3.755211119532E1)); #3036=CARTESIAN_POINT('',(-2.429100977991E1,1.505505373781E0,3.952268907758E1)); #3037=CARTESIAN_POINT('',(-2.417995662906E1,2.495251862362E0,4.152263179517E1)); #3038=CARTESIAN_POINT('',(-2.406946695482E1,3.278674750145E0,4.344935304011E1)); #3039=CARTESIAN_POINT('',(-2.396538150241E1,3.867662284147E0,4.522820806344E1)); #3040=CARTESIAN_POINT('',(-2.387017278854E1,4.297666508504E0,4.683731300163E1)); #3041=CARTESIAN_POINT('',(-2.378297852559E1,4.607643954242E0,4.830429118176E1)); #3042=CARTESIAN_POINT('',(-2.370301818047E1,4.825203179578E0,4.964997534571E1)); #3043=CARTESIAN_POINT('',(-2.362994549996E1,4.970211308680E0,5.088449388304E1)); #3044=CARTESIAN_POINT('',(-2.356391474974E1,5.057546364201E0,5.200749415207E1)); #3045=CARTESIAN_POINT('',(-2.350483390032E1,5.100507954207E0,5.302105079070E1)); #3046=CARTESIAN_POINT('',(-2.345170173632E1,5.110506964120E0,5.394176034376E1)); #3047=CARTESIAN_POINT('',(-2.340269619544E1,5.093955835990E0,5.480115198771E1)); #3048=CARTESIAN_POINT('',(-2.335591663547E1,5.053108019401E0,5.563306882471E1)); #3049=CARTESIAN_POINT('',(-2.331011276346E1,4.986385796150E0,5.646159526527E1)); #3050=CARTESIAN_POINT('',(-2.326470058137E1,4.895991864018E0,5.729681536546E1)); #3051=CARTESIAN_POINT('',(-2.322047397996E1,4.788248989700E0,5.812264106050E1)); #3052=CARTESIAN_POINT('',(-2.317806334633E1,4.670590312324E0,5.892457459841E1)); #3053=CARTESIAN_POINT('',(-2.313706022268E1,4.547897545555E0,5.970698244575E1)); #3054=CARTESIAN_POINT('',(-2.309576945676E1,4.419810118378E0,6.049940063273E1)); #3055=CARTESIAN_POINT('',(-2.305207267941E1,4.285369915510E0,6.133885857928E1)); #3056=CARTESIAN_POINT('',(-2.300486897282E1,4.144167531065E0,6.224491192965E1)); #3057=CARTESIAN_POINT('',(-2.295352767046E1,3.992169597312E0,6.323133196089E1)); #3058=CARTESIAN_POINT('',(-2.289808626133E1,3.826850354035E0,6.429968954070E1)); #3059=CARTESIAN_POINT('',(-2.283870012174E1,3.647888480592E0,6.544788993918E1)); #3060=CARTESIAN_POINT('',(-2.277530824614E1,3.455546816408E0,6.667713594360E1)); #3061=CARTESIAN_POINT('',(-2.270725329370E1,3.248124583963E0,6.800039745034E1)); #3062=CARTESIAN_POINT('',(-2.263359231489E1,3.023578516006E0,6.943584164720E1)); #3063=CARTESIAN_POINT('',(-2.255365793067E1,2.780122673979E0,7.099682579686E1)); #3064=CARTESIAN_POINT('',(-2.246706322949E1,2.516173952433E0,7.269183997199E1)); #3065=CARTESIAN_POINT('',(-2.237369534428E1,2.230842619719E0,7.452420947869E1)); #3066=CARTESIAN_POINT('',(-2.227352681583E1,1.923676745310E0,7.649537863270E1)); #3067=CARTESIAN_POINT('',(-2.216615613066E1,1.593599732897E0,7.861353006094E1)); #3068=CARTESIAN_POINT('',(-2.205064441390E1,1.237892926333E0,8.089731599724E1)); #3069=CARTESIAN_POINT('',(-2.192571684429E1,8.526234134910E-1, 8.337211570042E1)); #3070=CARTESIAN_POINT('',(-2.179108152569E1,4.368922049696E-1, 8.604368784008E1)); #3071=CARTESIAN_POINT('',(-2.164592443750E1,-1.169613679640E-2, 8.892725347032E1)); #3072=CARTESIAN_POINT('',(-2.148837685508E1,-4.989823991711E-1, 9.206025077610E1)); #3073=CARTESIAN_POINT('',(-2.131718382154E1,-1.029243703678E0, 9.547060534706E1)); #3074=CARTESIAN_POINT('',(-2.113585661059E1,-1.592086377481E0, 9.909192308585E1)); #3075=CARTESIAN_POINT('',(-2.095278420677E1,-2.161974703720E0, 1.027603727452E2)); #3076=CARTESIAN_POINT('',(-2.077699229879E1,-2.711089724570E0, 1.062971941831E2)); #3077=CARTESIAN_POINT('',(-2.061505296936E1,-3.218877275763E0, 1.095700345989E2)); #3078=CARTESIAN_POINT('',(-2.047022046542E1,-3.674861171973E0, 1.125109451287E2)); #3079=CARTESIAN_POINT('',(-2.034288481719E1,-4.077332699326E0, 1.151079690614E2)); #3080=CARTESIAN_POINT('',(-2.023101024511E1,-4.432240229575E0, 1.173989376491E2)); #3081=CARTESIAN_POINT('',(-2.013156292625E1,-4.748864578474E0, 1.194432428989E2)); #3082=CARTESIAN_POINT('',(-2.004205106463E1,-5.034729628734E0, 1.212898300779E2)); #3083=CARTESIAN_POINT('',(-1.996070399916E1,-5.295116539703E0, 1.229733020744E2)); #3084=CARTESIAN_POINT('',(-1.988637492316E1,-5.533394477093E0, 1.245158048233E2)); #3085=CARTESIAN_POINT('',(-1.981814115026E1,-5.752781186069E0, 1.259363298317E2)); #3086=CARTESIAN_POINT('',(-1.975507869201E1,-5.956456421885E0, 1.272540067708E2)); #3087=CARTESIAN_POINT('',(-1.969698652277E1,-6.145204272484E0, 1.284728940549E2)); #3088=CARTESIAN_POINT('',(-1.964399955231E1,-6.318385737140E0, 1.295892070156E2)); #3089=CARTESIAN_POINT('',(-1.959587788375E1,-6.475866448205E0, 1.306052935941E2)); #3090=CARTESIAN_POINT('',(-1.955143102030E1,-6.621055575390E0, 1.315446595789E2)); #3091=CARTESIAN_POINT('',(-1.950883268066E1,-6.759636981271E0, 1.324449339901E2)); #3092=CARTESIAN_POINT('',(-1.946650250896E1,-6.896265548774E0, 1.333381452288E2)); #3093=CARTESIAN_POINT('',(-1.942355050590E1,-7.034136908421E0, 1.342439750343E2)); #3094=CARTESIAN_POINT('',(-1.938037786827E1,-7.175064508655E0, 1.351618895800E2)); #3095=CARTESIAN_POINT('',(-1.933907073105E1,-7.317143126894E0, 1.360603832733E2)); #3096=CARTESIAN_POINT('',(-1.930064687439E1,-7.451545865385E0, 1.369031863316E2)); #3097=CARTESIAN_POINT('',(-1.926461052492E1,-7.569220694125E0, 1.376728119809E2)); #3098=CARTESIAN_POINT('',(-1.922920669636E1,-7.671852128263E0, 1.383953973064E2)); #3099=CARTESIAN_POINT('',(-1.919160263031E1,-7.761700405855E0, 1.391121444536E2)); #3100=CARTESIAN_POINT('',(-1.914965290681E1,-7.835364095494E0, 1.398401474658E2)); #3101=CARTESIAN_POINT('',(-1.910206900708E1,-7.885396379236E0, 1.405741368387E2)); #3102=CARTESIAN_POINT('',(-1.904914754400E1,-7.911115143927E0, 1.413070345015E2)); #3103=CARTESIAN_POINT('',(-1.898944266836E1,-7.914718742610E0, 1.420606485578E2)); #3104=CARTESIAN_POINT('',(-1.891911428237E1,-7.894260084906E0, 1.428746363031E2)); #3105=CARTESIAN_POINT('',(-1.883223418593E1,-7.840519635322E0, 1.437921956327E2)); #3106=CARTESIAN_POINT('',(-1.872473403901E1,-7.740443556807E0, 1.448194267572E2)); #3107=CARTESIAN_POINT('',(-1.859592046496E1,-7.583849109554E0, 1.459261292839E2)); #3108=CARTESIAN_POINT('',(-1.844926189969E1,-7.369830556824E0, 1.470580193948E2)); #3109=CARTESIAN_POINT('',(-1.829019488727E1,-7.106528259689E0, 1.481660431655E2)); #3110=CARTESIAN_POINT('',(-1.812529801939E1,-6.808204071458E0, 1.492103795554E2)); #3111=CARTESIAN_POINT('',(-1.796081562342E1,-6.491097935430E0, 1.501660989823E2)); #3112=CARTESIAN_POINT('',(-1.780110411462E1,-6.168685406545E0, 1.510258099683E2)); #3113=CARTESIAN_POINT('',(-1.764776689433E1,-5.848448826066E0, 1.517973326574E2)); #3114=CARTESIAN_POINT('',(-1.750114467017E1,-5.534193958737E0, 1.524919650096E2)); #3115=CARTESIAN_POINT('',(-1.736177026106E1,-5.229487755214E0, 1.531173352843E2)); #3116=CARTESIAN_POINT('',(-1.723115318945E1,-4.939319877626E0, 1.536755364984E2)); #3117=CARTESIAN_POINT('',(-1.710930335843E1,-4.665083369472E0, 1.541741413094E2)); #3118=CARTESIAN_POINT('',(-1.699378323037E1,-4.402167044315E0, 1.546286496091E2)); #3119=CARTESIAN_POINT('',(-1.687945457513E1,-4.139452827867E0, 1.550621150397E2)); #3120=CARTESIAN_POINT('',(-1.676314690984E1,-3.869336124940E0, 1.554871196013E2)); #3121=CARTESIAN_POINT('',(-1.664501670441E1,-3.592952378331E0, 1.559052238755E2)); #3122=CARTESIAN_POINT('',(-1.652576584344E1,-3.313257230230E0, 1.563166090434E2)); #3123=CARTESIAN_POINT('',(-1.640605518396E1,-3.032849238410E0, 1.567213415834E2)); #3124=CARTESIAN_POINT('',(-1.628586052209E1,-2.752817967291E0, 1.571218347730E2)); #3125=CARTESIAN_POINT('',(-1.616451212511E1,-2.471829330764E0, 1.575209754114E2)); #3126=CARTESIAN_POINT('',(-1.604148120899E1,-2.192873213948E0, 1.579189280508E2)); #3127=CARTESIAN_POINT('',(-1.591705470880E1,-1.916531658961E0, 1.583161120314E2)); #3128=CARTESIAN_POINT('',(-1.579301652925E1,-1.639116785449E0, 1.587127725269E2)); #3129=CARTESIAN_POINT('',(-1.567114603678E1,-1.349748140681E0, 1.591087158551E2)); #3130=CARTESIAN_POINT('',(-1.554839452581E1,-1.049899262903E0, 1.595040172399E2)); #3131=CARTESIAN_POINT('',(-1.541712408318E1,-7.348957144651E-1, 1.599104799517E2)); #3132=CARTESIAN_POINT('',(-1.526599936865E1,-3.862253421166E-1, 1.603526341499E2)); #3133=CARTESIAN_POINT('',(-1.508444450520E1,1.278960202750E-2, 1.608436544713E2)); #3134=CARTESIAN_POINT('',(-1.486637119617E1,4.333592178246E-1, 1.613675943953E2)); #3135=CARTESIAN_POINT('',(-1.462187895201E1,8.517708733189E-1, 1.618960140395E2)); #3136=CARTESIAN_POINT('',(-1.435772753231E1,1.262238592738E0,1.624162637967E2)); #3137=CARTESIAN_POINT('',(-1.406823605922E1,1.675122070081E0,1.629377161937E2)); #3138=CARTESIAN_POINT('',(-1.374227880346E1,2.101539170087E0,1.634741469106E2)); #3139=CARTESIAN_POINT('',(-1.336563568888E1,2.552791161579E0,1.640383037303E2)); #3140=CARTESIAN_POINT('',(-1.292077375438E1,3.037988817351E0,1.646404810208E2)); #3141=CARTESIAN_POINT('',(-1.238770486151E1,3.562382768489E0,1.652871593829E2)); #3142=CARTESIAN_POINT('',(-1.174324099821E1,4.129185593693E0,1.659803596036E2)); #3143=CARTESIAN_POINT('',(-1.096020774630E1,4.736673603708E0,1.667170324202E2)); #3144=CARTESIAN_POINT('',(-1.000881478409E1,5.376174538435E0,1.674868127849E2)); #3145=CARTESIAN_POINT('',(-8.856015469113E0,6.030895495198E0,1.682705600519E2)); #3146=CARTESIAN_POINT('',(-7.462619588586E0,6.675382786242E0,1.690426129868E2)); #3147=CARTESIAN_POINT('',(-5.773554493625E0,7.278208725553E0,1.697661193435E2)); #3148=CARTESIAN_POINT('',(-3.705820798367E0,7.785946860246E0,1.703786952867E2)); #3149=CARTESIAN_POINT('',(-1.271786139966E0,8.093621661096E0,1.707531603821E2)); #3150=CARTESIAN_POINT('',(1.338235635104E0,8.089165552541E0,1.707477575032E2)); #3151=CARTESIAN_POINT('',(3.763553304264E0,7.774930506859E0,1.703653121202E2)); #3152=CARTESIAN_POINT('',(5.820276280087E0,7.263873900362E0,1.697488978369E2)); #3153=CARTESIAN_POINT('',(7.500297683876E0,6.659804373444E0,1.690239345949E2)); #3154=CARTESIAN_POINT('',(8.886958111725E0,6.014862848459E0,1.682513712832E2)); #3155=CARTESIAN_POINT('',(1.003415799756E1,5.360368077129E0,1.674678541731E2)); #3156=CARTESIAN_POINT('',(1.098084184494E1,4.721661614959E0,1.666988935497E2)); #3157=CARTESIAN_POINT('',(1.175991776400E1,4.115325826099E0,1.659634810003E2)); #3158=CARTESIAN_POINT('',(1.240132748172E1,3.549653079850E0,1.652715216057E2)); #3159=CARTESIAN_POINT('',(1.293210603320E1,3.026212690229E0,1.646259068473E2)); #3160=CARTESIAN_POINT('',(1.337535976503E1,2.541645316749E0,1.640244241924E2)); #3161=CARTESIAN_POINT('',(1.375104527294E1,2.090559320632E0,1.634603740902E2)); #3162=CARTESIAN_POINT('',(1.407666822496E1,1.663623074411E0,1.629232168646E2)); #3163=CARTESIAN_POINT('',(1.436634856821E1,1.249424115335E0,1.624000641409E2)); #3164=CARTESIAN_POINT('',(1.463094009811E1,8.371028755076E-1,1.618773896699E2)); #3165=CARTESIAN_POINT('',(1.487540227442E1,4.170273709572E-1,1.613470684749E2)); #3166=CARTESIAN_POINT('',(1.509234163393E1,-3.708191900975E-3, 1.608233971264E2)); #3167=CARTESIAN_POINT('',(1.527223214665E1,-4.003734203781E-1, 1.603349124178E2)); #3168=CARTESIAN_POINT('',(1.542196158811E1,-7.463130616463E-1, 1.598958406457E2)); #3169=CARTESIAN_POINT('',(1.555241318380E1,-1.059724329362E0,1.594912433077E2)); #3170=CARTESIAN_POINT('',(1.567488015185E1,-1.358748729079E0,1.590966007704E2)); #3171=CARTESIAN_POINT('',(1.579666479290E1,-1.647583558769E0,1.587009525359E2)); #3172=CARTESIAN_POINT('',(1.592069013271E1,-1.924463118228E0,1.583045971868E2)); #3173=CARTESIAN_POINT('',(1.604501775134E1,-2.200847837054E0,1.579075483090E2)); #3174=CARTESIAN_POINT('',(1.616804335470E1,-2.479913587848E0,1.575094702733E2)); #3175=CARTESIAN_POINT('',(1.628941442379E1,-2.761088940339E0,1.571100602224E2)); #3176=CARTESIAN_POINT('',(1.640962597692E1,-3.041193167592E0,1.567093683126E2)); #3177=CARTESIAN_POINT('',(1.652930455896E1,-3.321558869528E0,1.563045306345E2)); #3178=CARTESIAN_POINT('',(1.664845834657E1,-3.601018700290E0,1.558932016249E2)); #3179=CARTESIAN_POINT('',(1.676642201634E1,-3.876976812837E0,1.554753437658E2)); #3180=CARTESIAN_POINT('',(1.688248403453E1,-4.146443596215E0,1.550508219854E2)); #3181=CARTESIAN_POINT('',(1.699634326437E1,-4.408016803909E0,1.546187286687E2)); #3182=CARTESIAN_POINT('',(1.711086492305E1,-4.668604647611E0,1.541678016986E2)); #3183=CARTESIAN_POINT('',(1.723058762338E1,-4.938026571279E0,1.536777300076E2)); #3184=CARTESIAN_POINT('',(1.735712444253E1,-5.219183210943E0,1.531372973762E2)); #3185=CARTESIAN_POINT('',(1.748997720016E1,-5.509880927328E0,1.525426900854E2)); #3186=CARTESIAN_POINT('',(1.762764139795E1,-5.805586624072E0,1.518942069910E2)); #3187=CARTESIAN_POINT('',(1.777015866904E1,-6.104613334047E0,1.511844005118E2)); #3188=CARTESIAN_POINT('',(1.791796680215E1,-6.405567325205E0,1.504014743915E2)); #3189=CARTESIAN_POINT('',(1.807080040400E1,-6.704690798814E0,1.495340996536E2)); #3190=CARTESIAN_POINT('',(1.822638745997E1,-6.993342022672E0,1.485797281517E2)); #3191=CARTESIAN_POINT('',(1.838057284381E1,-7.259128779723E0,1.475484220059E2)); #3192=CARTESIAN_POINT('',(1.852815908315E1,-7.488695216542E0,1.464629243222E2)); #3193=CARTESIAN_POINT('',(1.866410698061E1,-7.670988988219E0,1.453551029114E2)); #3194=CARTESIAN_POINT('',(1.878249255431E1,-7.798392181442E0,1.442812837106E2)); #3195=CARTESIAN_POINT('',(1.887999996971E1,-7.873625547185E0,1.432989285775E2)); #3196=CARTESIAN_POINT('',(1.895795013050E1,-7.908433924032E0,1.424338918254E2)); #3197=CARTESIAN_POINT('',(1.902182468266E1,-7.915531640717E0,1.416600115181E2)); #3198=CARTESIAN_POINT('',(1.907742041964E1,-7.900467092510E0,1.409242721312E2)); #3199=CARTESIAN_POINT('',(1.912744280641E1,-7.862756859954E0,1.401938428712E2)); #3200=CARTESIAN_POINT('',(1.917207237184E1,-7.799563772801E0,1.394607839141E2)); #3201=CARTESIAN_POINT('',(1.921154332384E1,-7.716790076152E0,1.387393624773E2)); #3202=CARTESIAN_POINT('',(1.924765241522E1,-7.620238318846E0,1.380237878130E2)); #3203=CARTESIAN_POINT('',(1.928306495126E1,-7.510356884433E0,1.372822384794E2)); #3204=CARTESIAN_POINT('',(1.932023186599E1,-7.383678275586E0,1.364750994830E2)); #3205=CARTESIAN_POINT('',(1.936014606669E1,-7.243410733498E0,1.355985721673E2)); #3206=CARTESIAN_POINT('',(1.940277834760E1,-7.101468507109E0,1.346842114874E2)); #3207=CARTESIAN_POINT('',(1.944603095828E1,-6.961860199184E0,1.337694009205E2)); #3208=CARTESIAN_POINT('',(1.948866415835E1,-6.824945584256E0,1.328708792973E2)); #3209=CARTESIAN_POINT('',(1.953093827238E1,-6.687793483469E0,1.319777608360E2)); #3210=CARTESIAN_POINT('',(1.957410588456E1,-6.547043785858E0,1.310653967741E2)); #3211=CARTESIAN_POINT('',(1.961975131622E1,-6.397742869420E0,1.301010088099E2)); #3212=CARTESIAN_POINT('',(1.966944107667E1,-6.235166379778E0,1.290528095741E2)); #3213=CARTESIAN_POINT('',(1.972358391110E1,-6.058627705500E0,1.279141767557E2)); #3214=CARTESIAN_POINT('',(1.978209841693E1,-5.869081619060E0,1.266888892315E2)); #3215=CARTESIAN_POINT('',(1.984464126327E1,-5.667484754036E0,1.253841126830E2)); #3216=CARTESIAN_POINT('',(1.991177926025E1,-5.451913761461E0,1.239881830580E2)); #3217=CARTESIAN_POINT('',(1.998429331621E1,-5.219567077131E0,1.224846949466E2)); #3218=CARTESIAN_POINT('',(2.006298758968E1,-4.967813626081E0,1.208574793884E2)); #3219=CARTESIAN_POINT('',(2.014865709899E1,-4.694381188177E0,1.190914468028E2)); #3220=CARTESIAN_POINT('',(2.024193086815E1,-4.397572906436E0,1.171751344559E2)); #3221=CARTESIAN_POINT('',(2.034325221685E1,-4.076218865225E0,1.151008085826E2)); #3222=CARTESIAN_POINT('',(2.045293829912E1,-3.729471465899E0,1.128633150982E2)); #3223=CARTESIAN_POINT('',(2.057147986238E1,-3.355970564010E0,1.104541456213E2)); #3224=CARTESIAN_POINT('',(2.069992910636E1,-2.952596202565E0,1.078536027023E2)); #3225=CARTESIAN_POINT('',(2.083993579219E1,-2.514345663203E0,1.050298257618E2)); #3226=CARTESIAN_POINT('',(2.099304161560E1,-2.036575903983E0,1.019530582523E2)); #3227=CARTESIAN_POINT('',(2.115874494809E1,-1.520998548893E0,9.863448228166E1)); #3228=CARTESIAN_POINT('',(2.133407331735E1,-9.768834338957E-1, 9.513377808752E1)); #3229=CARTESIAN_POINT('',(2.151337151511E1,-4.216091134064E-1, 9.156267458589E1)); #3230=CARTESIAN_POINT('',(2.168930980952E1,1.224260199703E-1,8.806499998390E1)); #3231=CARTESIAN_POINT('',(2.185395452874E1,6.311152457177E-1,8.479538516760E1)); #3232=CARTESIAN_POINT('',(2.200262014573E1,1.089879439927E0,8.184791289988E1)); #3233=CARTESIAN_POINT('',(2.213481317290E1,1.497155010619E0,7.923257170259E1)); #3234=CARTESIAN_POINT('',(2.225342053152E1,1.861942865975E0,7.689150862843E1)); #3235=CARTESIAN_POINT('',(2.236119363815E1,2.192580354586E0,7.476983828676E1)); #3236=CARTESIAN_POINT('',(2.245972909021E1,2.493809315150E0,7.283552535687E1)); #3237=CARTESIAN_POINT('',(2.254965079386E1,2.767916550897E0,7.107513845288E1)); #3238=CARTESIAN_POINT('',(2.263144839490E1,3.017046374402E0,6.947764979226E1)); #3239=CARTESIAN_POINT('',(2.270595449184E1,3.244162664605E0,6.802567741562E1)); #3240=CARTESIAN_POINT('',(2.277431331025E1,3.452522390089E0,6.669645326245E1)); #3241=CARTESIAN_POINT('',(2.283790344760E1,3.645483660989E0,6.546331024249E1)); #3242=CARTESIAN_POINT('',(2.289761865526E1,3.825456754896E0,6.430870323501E1)); #3243=CARTESIAN_POINT('',(2.295351119661E1,3.992126853440E0,6.323163751219E1)); #3244=CARTESIAN_POINT('',(2.300526974797E1,4.145353227012E0,6.223721514367E1)); #3245=CARTESIAN_POINT('',(2.305273990669E1,4.287376790633E0,6.132605520193E1)); #3246=CARTESIAN_POINT('',(2.309654559091E1,4.422226597162E0,6.048448471254E1)); #3247=CARTESIAN_POINT('',(2.313785146124E1,4.550318264698E0,5.969183575302E1)); #3248=CARTESIAN_POINT('',(2.317884907775E1,4.672866621025E0,5.890964429581E1)); #3249=CARTESIAN_POINT('',(2.322127035080E1,4.790336911472E0,5.810767101672E1)); #3250=CARTESIAN_POINT('',(2.326551999037E1,4.897816791886E0,5.728162647937E1)); #3251=CARTESIAN_POINT('',(2.331094823460E1,4.987836313532E0,5.644635450190E1)); #3252=CARTESIAN_POINT('',(2.335676683690E1,5.054090655024E0,5.561782713972E1)); #3253=CARTESIAN_POINT('',(2.340358898803E1,5.094493129354E0,5.478539207054E1)); #3254=CARTESIAN_POINT('',(2.345266289826E1,5.110578078625E0,5.392501078805E1)); #3255=CARTESIAN_POINT('',(2.350587465170E1,5.100031503251E0,5.300311334330E1)); #3256=CARTESIAN_POINT('',(2.356498593463E1,5.056435659307E0,5.198920814216E1)); #3257=CARTESIAN_POINT('',(2.363090536149E1,4.968570298993E0,5.086824190615E1)); #3258=CARTESIAN_POINT('',(2.370359149804E1,4.823718023930E0,4.964032718816E1)); #3259=CARTESIAN_POINT('',(2.378269796759E1,4.608218513407E0,4.830901357738E1)); #3260=CARTESIAN_POINT('',(2.386831044870E1,4.304533839774E0,4.686864454964E1)); #3261=CARTESIAN_POINT('',(2.396088773778E1,3.889178260698E0,4.530429939308E1)); #3262=CARTESIAN_POINT('',(2.406072984758E1,3.331321888512E0,4.359933186417E1)); #3263=CARTESIAN_POINT('',(2.416602770644E1,2.601016543585E0,4.176746800901E1)); #3264=CARTESIAN_POINT('',(2.427254790649E1,1.682741885642E0,3.985957542641E1)); #3265=CARTESIAN_POINT('',(2.437496030539E1,5.847887070083E-1,3.794762677560E1)); #3266=CARTESIAN_POINT('',(2.446896229193E1,-6.741230862023E-1, 3.609292222246E1)); #3267=CARTESIAN_POINT('',(2.455187145516E1,-2.071369400424E0,3.433498226167E1)); #3268=CARTESIAN_POINT('',(2.462056512004E1,-3.550069869930E0,3.273669760984E1)); #3269=CARTESIAN_POINT('',(2.467339063818E1,-5.025710609191E0,3.135489384378E1)); #3270=CARTESIAN_POINT('',(2.471150089447E1,-6.421982818754E0,3.020618186955E1)); #3271=CARTESIAN_POINT('',(2.473787164105E1,-7.713869518654E0,2.926133727785E1)); #3272=CARTESIAN_POINT('',(2.475546771048E1,-8.911974152428E0,2.847506108434E1)); #3273=CARTESIAN_POINT('',(2.476637134795E1,-1.002069185377E1,2.781694456441E1)); #3274=CARTESIAN_POINT('',(2.477220490998E1,-1.105321301417E1,2.725915510513E1)); #3275=CARTESIAN_POINT('',(2.477417065383E1,-1.206287311282E1,2.676069199981E1)); #3276=CARTESIAN_POINT('',(2.477242612092E1,-1.309088817183E1,2.629995785840E1)); #3277=CARTESIAN_POINT('',(2.476651929423E1,-1.415331800499E1,2.587542604192E1)); #3278=CARTESIAN_POINT('',(2.475631349702E1,-1.523395835406E1,2.549612857898E1)); #3279=CARTESIAN_POINT('',(2.474250392337E1,-1.632417210861E1,2.515205423952E1)); #3280=CARTESIAN_POINT('',(2.472561747983E1,-1.742720312741E1,2.483031375185E1)); #3281=CARTESIAN_POINT('',(2.470630122082E1,-1.854214566955E1,2.451837608842E1)); #3282=CARTESIAN_POINT('',(2.468572264069E1,-1.965328389832E1,2.420420919455E1)); #3283=CARTESIAN_POINT('',(2.465657184428E1,-2.114171297392E1,2.377694442567E1)); #3284=CARTESIAN_POINT('',(2.463254905993E1,-2.225802637618E1,2.345756878230E1)); #3285=CARTESIAN_POINT('',(2.461474266694E1,-2.302140917903E1,2.324779841987E1)); #3286=CARTESIAN_POINT('',(-2.328958688289E1,-2.320595691039E1, 2.397398033678E1)); #3287=CARTESIAN_POINT('',(-2.330812825243E1,-2.244803053302E1, 2.418427899179E1)); #3288=CARTESIAN_POINT('',(-2.333315192379E1,-2.134391095278E1, 2.450242272285E1)); #3289=CARTESIAN_POINT('',(-2.336360848761E1,-1.986823660334E1, 2.492877842170E1)); #3290=CARTESIAN_POINT('',(-2.338526280315E1,-1.875602724411E1, 2.524532116601E1)); #3291=CARTESIAN_POINT('',(-2.340546449576E1,-1.764874619007E1, 2.555672030396E1)); #3292=CARTESIAN_POINT('',(-2.342309272164E1,-1.656319917339E1, 2.587444710329E1)); #3293=CARTESIAN_POINT('',(-2.343760001342E1,-1.549825182161E1, 2.621117997296E1)); #3294=CARTESIAN_POINT('',(-2.344854529383E1,-1.445101166381E1, 2.657889525176E1)); #3295=CARTESIAN_POINT('',(-2.345533880748E1,-1.342631417310E1, 2.698807911822E1)); #3296=CARTESIAN_POINT('',(-2.345809726022E1,-1.243118078967E1, 2.743381836041E1)); #3297=CARTESIAN_POINT('',(-2.345724767569E1,-1.145549024767E1, 2.791529623930E1)); #3298=CARTESIAN_POINT('',(-2.345275091191E1,-1.046463227400E1, 2.845000217690E1)); #3299=CARTESIAN_POINT('',(-2.344365451507E1,-9.411520226409E0, 2.907350535071E1)); #3300=CARTESIAN_POINT('',(-2.342865670728E1,-8.286248184540E0, 2.980817965695E1)); #3301=CARTESIAN_POINT('',(-2.340615286947E1,-7.087027898592E0, 3.067709967813E1)); #3302=CARTESIAN_POINT('',(-2.337376418222E1,-5.801109784133E0, 3.171925294733E1)); #3303=CARTESIAN_POINT('',(-2.332849454760E1,-4.428527357713E0, 3.297602041123E1)); #3304=CARTESIAN_POINT('',(-2.326828205368E1,-3.020020338766E0, 3.445417760549E1)); #3305=CARTESIAN_POINT('',(-2.319298572762E1,-1.646138205122E0, 3.612705775960E1)); #3306=CARTESIAN_POINT('',(-2.310469413116E1,-3.739098583789E-1, 3.794041738897E1)); #3307=CARTESIAN_POINT('',(-2.300565163574E1,7.587342471399E-1, 3.985426201732E1)); #3308=CARTESIAN_POINT('',(-2.290038064826E1,1.716141335481E0,4.179702958270E1)); #3309=CARTESIAN_POINT('',(-2.279567216569E1,2.473134402191E0,4.366825119358E1)); #3310=CARTESIAN_POINT('',(-2.269703444274E1,3.041725613946E0,4.539619920432E1)); #3311=CARTESIAN_POINT('',(-2.260683648216E1,3.456211599387E0,4.695923929490E1)); #3312=CARTESIAN_POINT('',(-2.252428115347E1,3.754328649893E0,4.838385618187E1)); #3313=CARTESIAN_POINT('',(-2.244857017793E1,3.963025447215E0,4.969114300176E1)); #3314=CARTESIAN_POINT('',(-2.237938056428E1,4.101506687993E0,5.089078379112E1)); #3315=CARTESIAN_POINT('',(-2.231686618783E1,4.184190045888E0,5.198223321379E1)); #3316=CARTESIAN_POINT('',(-2.226092996636E1,4.223978863474E0,5.296758823224E1)); #3317=CARTESIAN_POINT('',(-2.221066215188E1,4.231906692871E0,5.386229820848E1)); #3318=CARTESIAN_POINT('',(-2.216437705534E1,4.214179135816E0,5.469631625537E1)); #3319=CARTESIAN_POINT('',(-2.212028443627E1,4.173011096068E0,5.550233574633E1)); #3320=CARTESIAN_POINT('',(-2.207711090071E1,4.106810477819E0,5.630521388312E1)); #3321=CARTESIAN_POINT('',(-2.203399469449E1,4.017101655263E0,5.712007170852E1)); #3322=CARTESIAN_POINT('',(-2.199176387989E1,3.909902182550E0,5.793014741370E1)); #3323=CARTESIAN_POINT('',(-2.195102113894E1,3.792352671511E0,5.872134161914E1)); #3324=CARTESIAN_POINT('',(-2.191139241230E1,3.669158867931E0,5.94977539E1)); #3325=CARTESIAN_POINT('',(-2.187126126552E1,3.539883165708E0,6.028838804747E1)); #3326=CARTESIAN_POINT('',(-2.182849171550E1,3.403249171579E0,6.113165129628E1)); #3327=CARTESIAN_POINT('',(-2.178242486210E1,3.259993672124E0,6.203976745790E1)); #3328=CARTESIAN_POINT('',(-2.173244445918E1,3.106015708421E0,6.302662464250E1)); #3329=CARTESIAN_POINT('',(-2.167860390712E1,2.938820425856E0,6.409357275931E1)); #3330=CARTESIAN_POINT('',(-2.162091815579E1,2.757697071210E0,6.524104488717E1)); #3331=CARTESIAN_POINT('',(-2.155936351920E1,2.562986794910E0,6.646968933875E1)); #3332=CARTESIAN_POINT('',(-2.149329307518E1,2.352918081671E0,6.779273025146E1)); #3333=CARTESIAN_POINT('',(-2.142179701859E1,2.125395649756E0,6.922837988024E1)); #3334=CARTESIAN_POINT('',(-2.134427458665E1,1.878702850519E0,7.078932663606E1)); #3335=CARTESIAN_POINT('',(-2.126035771163E1,1.611218408155E0,7.248413779848E1)); #3336=CARTESIAN_POINT('',(-2.116995611698E1,1.322055148371E0,7.431602309145E1)); #3337=CARTESIAN_POINT('',(-2.107303922978E1,1.010701105734E0,7.628673771981E1)); #3338=CARTESIAN_POINT('',(-2.096923263575E1,6.760552888001E-1, 7.840445037721E1)); #3339=CARTESIAN_POINT('',(-2.085764505457E1,3.153546634410E-1, 8.068777793374E1)); #3340=CARTESIAN_POINT('',(-2.073706585046E1,-7.538751979364E-2, 8.316202460148E1)); #3341=CARTESIAN_POINT('',(-2.060723151130E1,-4.970936265490E-1, 8.583295833602E1)); #3342=CARTESIAN_POINT('',(-2.046737375087E1,-9.521941756489E-1, 8.871577497127E1)); #3343=CARTESIAN_POINT('',(-2.031570778967E1,-1.446631092902E0, 9.184798501925E1)); #3344=CARTESIAN_POINT('',(-2.015108065019E1,-1.984777901116E0, 9.525750242390E1)); #3345=CARTESIAN_POINT('',(-1.997693079289E1,-2.556124574647E0, 9.887795637878E1)); #3346=CARTESIAN_POINT('',(-1.980136688540E1,-3.134782410051E0, 1.025455600422E2)); #3347=CARTESIAN_POINT('',(-1.963306133176E1,-3.692514969979E0, 1.060815915745E2)); #3348=CARTESIAN_POINT('',(-1.947828370927E1,-4.208433527060E0, 1.093537325780E2)); #3349=CARTESIAN_POINT('',(-1.934009715507E1,-4.671858162184E0, 1.122940024272E2)); #3350=CARTESIAN_POINT('',(-1.921880234827E1,-5.081006739958E0, 1.148904445318E2)); #3351=CARTESIAN_POINT('',(-1.911239474479E1,-5.441888604674E0, 1.171808896647E2)); #3352=CARTESIAN_POINT('',(-1.901793826742E1,-5.763911767761E0, 1.192247217668E2)); #3353=CARTESIAN_POINT('',(-1.893302609556E1,-6.054721271818E0, 1.210709455775E2)); #3354=CARTESIAN_POINT('',(-1.885595104148E1,-6.319674415947E0, 1.227541449111E2)); #3355=CARTESIAN_POINT('',(-1.878560689996E1,-6.562179755108E0, 1.242964038677E2)); #3356=CARTESIAN_POINT('',(-1.872111457458E1,-6.785467742707E0, 1.257165207807E2)); #3357=CARTESIAN_POINT('',(-1.866158152337E1,-6.992780253172E0, 1.270337326078E2)); #3358=CARTESIAN_POINT('',(-1.860681218724E1,-7.184890670540E0, 1.282519983313E2)); #3359=CARTESIAN_POINT('',(-1.855689615725E1,-7.361214937298E0, 1.293679942330E2)); #3360=CARTESIAN_POINT('',(-1.851158993171E1,-7.521620240099E0, 1.303840710389E2)); #3361=CARTESIAN_POINT('',(-1.846976298343E1,-7.669569434673E0, 1.313236772247E2)); #3362=CARTESIAN_POINT('',(-1.842969800349E1,-7.810828584213E0, 1.322242873152E2)); #3363=CARTESIAN_POINT('',(-1.838987915892E1,-7.950215464380E0, 1.331183901274E2)); #3364=CARTESIAN_POINT('',(-1.834958073613E1,-8.090678802130E0, 1.340236841094E2)); #3365=CARTESIAN_POINT('',(-1.830922045822E1,-8.233982967297E0, 1.349393136855E2)); #3366=CARTESIAN_POINT('',(-1.827081635372E1,-8.378003949006E0, 1.358331653168E2)); #3367=CARTESIAN_POINT('',(-1.823478059714E1,-8.515346420221E0, 1.366784958383E2)); #3368=CARTESIAN_POINT('',(-1.820068911180E1,-8.636464956063E0, 1.374553123034E2)); #3369=CARTESIAN_POINT('',(-1.816688917645E1,-8.742881877485E0, 1.381888575521E2)); #3370=CARTESIAN_POINT('',(-1.813050802363E1,-8.837100945937E0, 1.389225481493E2)); #3371=CARTESIAN_POINT('',(-1.808932431672E1,-8.915488037001E0, 1.396742685452E2)); #3372=CARTESIAN_POINT('',(-1.804195792079E1,-8.969856800346E0, 1.404377477794E2)); #3373=CARTESIAN_POINT('',(-1.798929890303E1,-8.998776262984E0, 1.411968591193E2)); #3374=CARTESIAN_POINT('',(-1.792983458968E1,-9.004884380603E0, 1.419758006926E2)); #3375=CARTESIAN_POINT('',(-1.785967332033E1,-8.986336339247E0, 1.428161088015E2)); #3376=CARTESIAN_POINT('',(-1.777269591602E1,-8.933739470290E0, 1.437637520685E2)); #3377=CARTESIAN_POINT('',(-1.766473533269E1,-8.833611446727E0, 1.448246527802E2)); #3378=CARTESIAN_POINT('',(-1.753492503490E1,-8.675285467301E0, 1.459679004219E2)); #3379=CARTESIAN_POINT('',(-1.738668996347E1,-8.457659179568E0, 1.471370898999E2)); #3380=CARTESIAN_POINT('',(-1.722554524881E1,-8.189085216867E0, 1.482810721779E2)); #3381=CARTESIAN_POINT('',(-1.705814540555E1,-7.884163263617E0, 1.493589707995E2)); #3382=CARTESIAN_POINT('',(-1.689084910585E1,-7.559554584500E0, 1.503452231998E2)); #3383=CARTESIAN_POINT('',(-1.672820668014E1,-7.229280341411E0, 1.512319216405E2)); #3384=CARTESIAN_POINT('',(-1.657189425387E1,-6.901076969770E0, 1.520272862578E2)); #3385=CARTESIAN_POINT('',(-1.642229604920E1,-6.578892323649E0, 1.527430894255E2)); #3386=CARTESIAN_POINT('',(-1.627991911586E1,-6.266333549628E0, 1.533873211790E2)); #3387=CARTESIAN_POINT('',(-1.614636011236E1,-5.968586594818E0, 1.539621612046E2)); #3388=CARTESIAN_POINT('',(-1.602157960150E1,-5.686931557980E0, 1.544757798937E2)); #3389=CARTESIAN_POINT('',(-1.590314799957E1,-5.416734028072E0, 1.549440283675E2)); #3390=CARTESIAN_POINT('',(-1.578574073344E1,-5.146446278939E0, 1.553908289473E2)); #3391=CARTESIAN_POINT('',(-1.566638453387E1,-4.868778753640E0, 1.558284379604E2)); #3392=CARTESIAN_POINT('',(-1.554627927545E1,-4.587223756083E0, 1.562551337635E2)); #3393=CARTESIAN_POINT('',(-1.542591495756E1,-4.304296259772E0, 1.566721259954E2)); #3394=CARTESIAN_POINT('',(-1.530605594575E1,-4.022808737680E0, 1.570793882431E2)); #3395=CARTESIAN_POINT('',(-1.518647097869E1,-3.743376962297E0, 1.574800971639E2)); #3396=CARTESIAN_POINT('',(-1.506602109062E1,-3.463406435434E0, 1.578791763589E2)); #3397=CARTESIAN_POINT('',(-1.494264555860E1,-3.184177915165E0, 1.582768277349E2)); #3398=CARTESIAN_POINT('',(-1.481617256368E1,-2.905656608530E0, 1.586737561514E2)); #3399=CARTESIAN_POINT('',(-1.469054256762E1,-2.626768537322E0, 1.590695789666E2)); #3400=CARTESIAN_POINT('',(-1.457423284033E1,-2.344459809184E0, 1.594631360527E2)); #3401=CARTESIAN_POINT('',(-1.446389276076E1,-2.059496657857E0, 1.598546901606E2)); #3402=CARTESIAN_POINT('',(-1.435028346072E1,-1.764668788757E0, 1.602567745443E2)); #3403=CARTESIAN_POINT('',(-1.422320081797E1,-1.442111009280E0, 1.606940090167E2)); #3404=CARTESIAN_POINT('',(-1.407785577349E1,-1.079721276267E0, 1.611789813583E2)); #3405=CARTESIAN_POINT('',(-1.389804775581E1,-6.944440996053E-1, 1.616984556175E2)); #3406=CARTESIAN_POINT('',(-1.369013211856E1,-3.071006423786E-1, 1.622243285446E2)); #3407=CARTESIAN_POINT('',(-1.346182481501E1,7.514951490324E-2, 1.627434920336E2)); #3408=CARTESIAN_POINT('',(-1.320959639344E1,4.608149097440E-1, 1.632650268014E2)); #3409=CARTESIAN_POINT('',(-1.292308571662E1,8.605934631817E-1, 1.638027520309E2)); #3410=CARTESIAN_POINT('',(-1.258948490650E1,1.285100805515E0,1.643694984666E2)); #3411=CARTESIAN_POINT('',(-1.219239429743E1,1.743248856645E0,1.649757594434E2)); #3412=CARTESIAN_POINT('',(-1.171202881673E1,2.240784757445E0,1.656282367780E2)); #3413=CARTESIAN_POINT('',(-1.112640853247E1,2.780984769969E0,1.663291153855E2)); #3414=CARTESIAN_POINT('',(-1.040915688787E1,3.362673078446E0,1.670755405801E2)); #3415=CARTESIAN_POINT('',(-9.530780147240E0,3.978098648405E0,1.678573385980E2)); #3416=CARTESIAN_POINT('',(-8.458401881025E0,4.611624002653E0,1.686556450880E2)); #3417=CARTESIAN_POINT('',(-7.150128490194E0,5.239601060511E0,1.694448374153E2)); #3418=CARTESIAN_POINT('',(-5.550319023562E0,5.831310056384E0,1.701877891802E2)); #3419=CARTESIAN_POINT('',(-3.575223379355E0,6.333739950862E0,1.708204335798E2)); #3420=CARTESIAN_POINT('',(-1.228685070467E0,6.640670693077E0,1.712091706630E2)); #3421=CARTESIAN_POINT('',(1.292884176038E0,6.636213116335E0,1.712035478029E2)); #3422=CARTESIAN_POINT('',(3.630644440485E0,6.322786812348E0,1.708065721007E2)); #3423=CARTESIAN_POINT('',(5.594733005460E0,5.817186504474E0,1.701700569551E2)); #3424=CARTESIAN_POINT('',(7.185655077952E0,5.224368390414E0,1.694257057656E2)); #3425=CARTESIAN_POINT('',(8.487311246320E0,4.596059338005E0,1.686360684755E2)); #3426=CARTESIAN_POINT('',(9.554255882746E0,3.962850475003E0,1.678380591133E2)); #3427=CARTESIAN_POINT('',(1.042812994391E1,3.348264326793E0,1.670571421796E2)); #3428=CARTESIAN_POINT('',(1.114161886495E1,2.767746933719E0,1.663120327122E2)); #3429=CARTESIAN_POINT('',(1.172435425578E1,2.228680963492E0,1.656124429542E2)); #3430=CARTESIAN_POINT('',(1.220255720602E1,1.732102991277E0,1.649610707781E2)); #3431=CARTESIAN_POINT('',(1.259812624948E1,1.274598834281E0,1.643555404843E2)); #3432=CARTESIAN_POINT('',(1.293082173353E1,8.502816794444E-1,1.637889308484E2)); #3433=CARTESIAN_POINT('',(1.321697920405E1,4.500518828545E-1,1.632505078126E2)); #3434=CARTESIAN_POINT('',(1.346930051191E1,6.320225859748E-2,1.627273076563E2)); #3435=CARTESIAN_POINT('',(1.369793824312E1,-3.207451131598E-1, 1.622057625948E2)); #3436=CARTESIAN_POINT('',(1.390563218258E1,-7.095011017037E-1, 1.616780609926E2)); #3437=CARTESIAN_POINT('',(1.408417728973E1,-1.094690847181E0,1.611589540210E2)); #3438=CARTESIAN_POINT('',(1.422833832811E1,-1.455098735812E0,1.606764932186E2)); #3439=CARTESIAN_POINT('',(1.435442532786E1,-1.775308147124E0,1.602422940008E2)); #3440=CARTESIAN_POINT('',(1.446741783728E1,-2.068742201258E0,1.598420512917E2)); #3441=CARTESIAN_POINT('',(1.457770777865E1,-2.353140242619E0,1.594511120720E2)); #3442=CARTESIAN_POINT('',(1.469412487244E1,-2.635142821694E0,1.590578108684E2)); #3443=CARTESIAN_POINT('',(1.481991976971E1,-2.913711703427E0,1.586622437473E2)); #3444=CARTESIAN_POINT('',(1.494620050034E1,-3.192168294432E0,1.582654604587E2)); #3445=CARTESIAN_POINT('',(1.506954400582E1,-3.471478991196E0,1.578676782335E2)); #3446=CARTESIAN_POINT('',(1.518999890027E1,-3.751620263386E0,1.574683193539E2)); #3447=CARTESIAN_POINT('',(1.530961700232E1,-4.031151594184E0,1.570673880968E2)); #3448=CARTESIAN_POINT('',(1.542947399780E1,-4.312665767440E0,1.566599217646E2)); #3449=CARTESIAN_POINT('',(1.554976698457E1,-4.595414639508E0,1.562429028957E2)); #3450=CARTESIAN_POINT('',(1.566973001238E1,-4.876598244970E0,1.558163660374E2)); #3451=CARTESIAN_POINT('',(1.578885834003E1,-5.153651731287E0,1.553791711611E2)); #3452=CARTESIAN_POINT('',(1.590577277511E1,-5.422745233810E0,1.549338101317E2)); #3453=CARTESIAN_POINT('',(1.602317968286E1,-5.690549870164E0,1.544692474519E2)); #3454=CARTESIAN_POINT('',(1.614577991133E1,-5.967256915723E0,1.539644218359E2)); #3455=CARTESIAN_POINT('',(1.627516904249E1,-6.255760051817E0,1.534078796270E2)); #3456=CARTESIAN_POINT('',(1.641089126463E1,-6.553955702024E0,1.527953483462E2)); #3457=CARTESIAN_POINT('',(1.655136544161E1,-6.857137154938E0,1.521271253643E2)); #3458=CARTESIAN_POINT('',(1.669666952593E1,-7.163622220859E0,1.513954329525E2)); #3459=CARTESIAN_POINT('',(1.684722582703E1,-7.471949015009E0,1.505880327991E2)); #3460=CARTESIAN_POINT('',(1.700274186184E1,-7.778237737349E0,1.496930503509E2)); #3461=CARTESIAN_POINT('',(1.716080083825E1,-8.073445618096E0,1.487080881389E2)); #3462=CARTESIAN_POINT('',(1.731714486201E1,-8.344816464054E0,1.476434408299E2)); #3463=CARTESIAN_POINT('',(1.746647373499E1,-8.578623354705E0,1.465224715428E2)); #3464=CARTESIAN_POINT('',(1.760369728463E1,-8.763544466083E0,1.453779601373E2)); #3465=CARTESIAN_POINT('',(1.772278803839E1,-8.891772780379E0,1.442688460028E2)); #3466=CARTESIAN_POINT('',(1.782054868106E1,-8.966371588948E0,1.432543257489E2)); #3467=CARTESIAN_POINT('',(1.789845097647E1,-8.999575979597E0,1.423610171586E2)); #3468=CARTESIAN_POINT('',(1.796208223030E1,-9.004458519575E0,1.415620098819E2)); #3469=CARTESIAN_POINT('',(1.801744087448E1,-8.986559315170E0,1.408005865457E2)); #3470=CARTESIAN_POINT('',(1.806722240144E1,-8.945131032099E0,1.400425137024E2)); #3471=CARTESIAN_POINT('',(1.811143173629E1,-8.877201786138E0,1.392814430066E2)); #3472=CARTESIAN_POINT('',(1.814985886829E1,-8.789861737670E0,1.385402441341E2)); #3473=CARTESIAN_POINT('',(1.818454708148E1,-8.689242431223E0,1.378109534139E2)); #3474=CARTESIAN_POINT('',(1.821818292497E1,-8.575777246656E0,1.370605600606E2)); #3475=CARTESIAN_POINT('',(1.825319277399E1,-8.445847577724E0,1.362482838321E2)); #3476=CARTESIAN_POINT('',(1.829041661074E1,-8.303215795677E0,1.353733707644E2)); #3477=CARTESIAN_POINT('',(1.833013455040E1,-8.159205368866E0,1.344631868119E2)); #3478=CARTESIAN_POINT('',(1.837065320779E1,-8.017081739520E0,1.335496511625E2)); #3479=CARTESIAN_POINT('',(1.841072720981E1,-7.877440188502E0,1.326505894374E2)); #3480=CARTESIAN_POINT('',(1.845048622694E1,-7.737591494484E0,1.317569267162E2)); #3481=CARTESIAN_POINT('',(1.849109913334E1,-7.594142756768E0,1.308442620656E2)); #3482=CARTESIAN_POINT('',(1.853406330687E1,-7.442038945070E0,1.298797662839E2)); #3483=CARTESIAN_POINT('',(1.858086012397E1,-7.276474508666E0,1.288316968019E2)); #3484=CARTESIAN_POINT('',(1.863187977090E1,-7.096773442337E0,1.276935902101E2)); #3485=CARTESIAN_POINT('',(1.868708123748E1,-6.903843440881E0,1.264688233161E2)); #3486=CARTESIAN_POINT('',(1.874615321446E1,-6.698653088891E0,1.251644757106E2)); #3487=CARTESIAN_POINT('',(1.880964035183E1,-6.479252276387E0,1.237688863449E2)); #3488=CARTESIAN_POINT('',(1.887829427640E1,-6.242793644027E0,1.222656047152E2)); #3489=CARTESIAN_POINT('',(1.895287912748E1,-5.986643396634E0,1.206386787166E2)); #3490=CARTESIAN_POINT('',(1.903416822346E1,-5.708495269195E0,1.188730029926E2)); #3491=CARTESIAN_POINT('',(1.912277865308E1,-5.406636297444E0,1.169571390740E2)); #3492=CARTESIAN_POINT('',(1.921915802894E1,-5.079877452546E0,1.148832850696E2)); #3493=CARTESIAN_POINT('',(1.932363312281E1,-4.727373478515E0,1.126462933357E2)); #3494=CARTESIAN_POINT('',(1.943669828058E1,-4.347757467360E0,1.102376531453E2)); #3495=CARTESIAN_POINT('',(1.955938779239E1,-3.937877223153E0,1.076376646304E2)); #3496=CARTESIAN_POINT('',(1.969330574400E1,-3.492672203206E0,1.048145053252E2)); #3497=CARTESIAN_POINT('',(1.983996042583E1,-3.007446144778E0,1.017384301723E2)); #3498=CARTESIAN_POINT('',(1.999890549271E1,-2.483958042116E0,9.842062398481E1)); #3499=CARTESIAN_POINT('',(2.016731279200E1,-1.931633227191E0,9.492075700835E1)); #3500=CARTESIAN_POINT('',(2.033975315153E1,-1.368112537933E0,9.135053045662E1)); #3501=CARTESIAN_POINT('',(2.050915580150E1,-8.161141821691E-1, 8.785374575329E1)); #3502=CARTESIAN_POINT('',(2.066784094002E1,-3.000670903447E-1, 8.458496473344E1)); #3503=CARTESIAN_POINT('',(2.081127519205E1,1.652494705046E-1,8.163816852634E1)); #3504=CARTESIAN_POINT('',(2.093894191774E1,5.782646335316E-1,7.902337408916E1)); #3505=CARTESIAN_POINT('',(2.105359239571E1,9.481199084791E-1,7.668277923209E1)); #3506=CARTESIAN_POINT('',(2.115785519286E1,1.283276145485E0,7.456158846764E1)); #3507=CARTESIAN_POINT('',(2.125325268504E1,1.588554037117E0,7.262779668201E1)); #3508=CARTESIAN_POINT('',(2.134038926464E1,1.866333803387E0,7.086763630735E1)); #3509=CARTESIAN_POINT('',(2.141971666514E1,2.118776793131E0,6.927019179549E1)); #3510=CARTESIAN_POINT('',(2.149203224295E1,2.348904764576E0,6.781800970851E1)); #3511=CARTESIAN_POINT('',(2.155839757897E1,2.559924697400E0,6.648899875691E1)); #3512=CARTESIAN_POINT('',(2.162014448545E1,2.755263117920E0,6.525645509861E1)); #3513=CARTESIAN_POINT('',(2.167814968991E1,2.937410525525E0,6.410257734959E1)); #3514=CARTESIAN_POINT('',(2.173242806380E1,3.105971636606E0,6.302693541292E1)); #3515=CARTESIAN_POINT('',(2.178281482664E1,3.261194136583E0,6.203207124051E1)); #3516=CARTESIAN_POINT('',(2.182914430448E1,3.405288706547E0,6.111879524872E1)); #3517=CARTESIAN_POINT('',(2.187201869592E1,3.542331645255E0,6.027344739320E1)); #3518=CARTESIAN_POINT('',(2.191215919195E1,3.671595264707E0,5.948268409303E1)); #3519=CARTESIAN_POINT('',(2.195177827965E1,3.794632391360E0,5.870656821124E1)); #3520=CARTESIAN_POINT('',(2.199252661946E1,3.911983369569E0,5.791542069555E1)); #3521=CARTESIAN_POINT('',(2.203477476860E1,4.018914309643E0,5.710521524800E1)); #3522=CARTESIAN_POINT('',(2.207790153041E1,4.108248822462E0,5.629039064301E1)); #3523=CARTESIAN_POINT('',(2.212108409390E1,4.173990127929E0,5.548759586464E1)); #3524=CARTESIAN_POINT('',(2.216521992978E1,4.214731061446E0,5.468102583814E1)); #3525=CARTESIAN_POINT('',(2.221157048417E1,4.232008212183E0,5.384603635100E1)); #3526=CARTESIAN_POINT('',(2.226191554883E1,4.223550546201E0,5.295014419023E1)); #3527=CARTESIAN_POINT('',(2.231788017837E1,4.183146174439E0,5.196446125090E1)); #3528=CARTESIAN_POINT('',(2.238028943850E1,4.099943810944E0,5.087498889533E1)); #3529=CARTESIAN_POINT('',(2.244911300778E1,3.961601624834E0,4.968177002565E1)); #3530=CARTESIAN_POINT('',(2.252401554305E1,3.754877692246E0,4.838844433100E1)); #3531=CARTESIAN_POINT('',(2.260507302509E1,3.462818709194E0,4.698966777260E1)); #3532=CARTESIAN_POINT('',(2.269277665652E1,3.062474714512E0,4.547011483439E1)); #3533=CARTESIAN_POINT('',(2.278739222980E1,2.523973457826E0,4.381392956040E1)); #3534=CARTESIAN_POINT('',(2.288717919290E1,1.818370618251E0,4.203482402958E1)); #3535=CARTESIAN_POINT('',(2.298815095379E1,9.302305393041E-1,4.018153173333E1)); #3536=CARTESIAN_POINT('',(2.308519833392E1,-1.322863151354E-1, 3.832447885130E1)); #3537=CARTESIAN_POINT('',(2.317421128794E1,-1.351065425134E0,3.652313208621E1)); #3538=CARTESIAN_POINT('',(2.325263395103E1,-2.704661311430E0,3.481521062746E1)); #3539=CARTESIAN_POINT('',(2.331742597386E1,-4.137288395600E0,3.326259719869E1)); #3540=CARTESIAN_POINT('',(2.336704175244E1,-5.567565453104E0,3.191981606424E1)); #3541=CARTESIAN_POINT('',(2.340258160898E1,-6.921053333694E0,3.080344525880E1)); #3542=CARTESIAN_POINT('',(2.342690076901E1,-8.173181553627E0,2.988525601644E1)); #3543=CARTESIAN_POINT('',(2.344284891226E1,-9.335031642910E0,2.912065972555E1)); #3544=CARTESIAN_POINT('',(2.345241077999E1,-1.041051868288E1,2.848040689053E1)); #3545=CARTESIAN_POINT('',(2.345713509096E1,-1.141229152952E1,2.793755169652E1)); #3546=CARTESIAN_POINT('',(2.345813362179E1,-1.239205664012E1,2.745224573065E1)); #3547=CARTESIAN_POINT('',(2.345551512114E1,-1.338846947558E1,2.700413691313E1)); #3548=CARTESIAN_POINT('',(2.344885891094E1,-1.441469856183E1,2.659245861521E1)); #3549=CARTESIAN_POINT('',(2.343802550158E1,-1.546318206396E1,2.622280860660E1)); #3550=CARTESIAN_POINT('',(2.342359468363E1,-1.652984613378E1,2.588453017936E1)); #3551=CARTESIAN_POINT('',(2.340600952162E1,-1.761739111745E1,2.556564516680E1)); #3552=CARTESIAN_POINT('',(2.338581700194E1,-1.872685577633E1,2.525350813278E1)); #3553=CARTESIAN_POINT('',(2.336414092477E1,-1.984163108183E1,2.493644491817E1)); #3554=CARTESIAN_POINT('',(2.333349981492E1,-2.132844084828E1,2.450689464244E1)); #3555=CARTESIAN_POINT('',(2.330826287780E1,-2.244248365213E1,2.418590991775E1)); #3556=CARTESIAN_POINT('',(2.328945862092E1,-2.321001667950E1,2.397341686381E1)); #3557=CARTESIAN_POINT('',(-2.207096655461E1,-2.292399239421E1, 2.310569862746E1)); #3558=CARTESIAN_POINT('',(-2.208829840070E1,-2.217259105849E1, 2.331571437142E1)); #3559=CARTESIAN_POINT('',(-2.211180797233E1,-2.106990482912E1, 2.363556177734E1)); #3560=CARTESIAN_POINT('',(-2.214041871152E1,-1.959749113349E1, 2.406348433247E1)); #3561=CARTESIAN_POINT('',(-2.216072755754E1,-1.849256488233E1, 2.437968697079E1)); #3562=CARTESIAN_POINT('',(-2.217981623591E1,-1.738407054308E1, 2.469303048844E1)); #3563=CARTESIAN_POINT('',(-2.219652739186E1,-1.628745285510E1, 2.501549344906E1)); #3564=CARTESIAN_POINT('',(-2.221021201862E1,-1.520350519238E1, 2.535967491432E1)); #3565=CARTESIAN_POINT('',(-2.222034387897E1,-1.412898294131E1, 2.573841365959E1)); #3566=CARTESIAN_POINT('',(-2.222622205194E1,-1.307256524751E1, 2.616180595418E1)); #3567=CARTESIAN_POINT('',(-2.222795912384E1,-1.205020839878E1, 2.662134564662E1)); #3568=CARTESIAN_POINT('',(-2.222601469142E1,-1.104909252382E1, 2.711692304593E1)); #3569=CARTESIAN_POINT('',(-2.222030276764E1,-1.003239457780E1, 2.766719624028E1)); #3570=CARTESIAN_POINT('',(-2.220978711371E1,-8.951750318186E0, 2.830878533790E1)); #3571=CARTESIAN_POINT('',(-2.219310832999E1,-7.796951745212E0, 2.906473032583E1)); #3572=CARTESIAN_POINT('',(-2.216858842505E1,-6.565905325594E0, 2.995898597974E1)); #3573=CARTESIAN_POINT('',(-2.213375608019E1,-5.246337792115E0, 3.103108742968E1)); #3574=CARTESIAN_POINT('',(-2.208549171963E1,-3.838342844064E0, 3.232348862367E1)); #3575=CARTESIAN_POINT('',(-2.202164689807E1,-2.393645334104E0, 3.384353801448E1)); #3576=CARTESIAN_POINT('',(-2.194211412630E1,-9.852577964866E-1, 3.556319222848E1)); #3577=CARTESIAN_POINT('',(-2.184904680701E1,3.184739164832E-1, 3.742720028408E1)); #3578=CARTESIAN_POINT('',(-2.174476444354E1,1.478565522599E0,3.939445773594E1)); #3579=CARTESIAN_POINT('',(-2.163401864550E1,2.458224633275E0,4.139087228904E1)); #3580=CARTESIAN_POINT('',(-2.152386518153E1,3.232247134555E0,4.331414511803E1)); #3581=CARTESIAN_POINT('',(-2.142011729947E1,3.812811352456E0,4.508986191096E1)); #3582=CARTESIAN_POINT('',(-2.132523197591E1,4.235387083602E0,4.669620782849E1)); #3583=CARTESIAN_POINT('',(-2.123834465819E1,4.538742008825E0,4.816076282860E1)); #3584=CARTESIAN_POINT('',(-2.115867272820E1,4.750355106349E0,4.950433694064E1)); #3585=CARTESIAN_POINT('',(-2.108586888910E1,4.890011050810E0,5.073702747589E1)); #3586=CARTESIAN_POINT('',(-2.102008512981E1,4.972559490978E0,5.185846318514E1)); #3587=CARTESIAN_POINT('',(-2.096122808387E1,5.011267159754E0,5.287069785898E1)); #3588=CARTESIAN_POINT('',(-2.090830001589E1,5.017451322423E0,5.379027526384E1)); #3589=CARTESIAN_POINT('',(-2.085948565119E1,4.997381372897E0,5.464866486806E1)); #3590=CARTESIAN_POINT('',(-2.081289124989E1,4.953169006945E0,5.547966523740E1)); #3591=CARTESIAN_POINT('',(-2.076726894493E1,4.883150739399E0,5.630736723687E1)); #3592=CARTESIAN_POINT('',(-2.072203149038E1,4.789498023791E0,5.714192423171E1)); #3593=CARTESIAN_POINT('',(-2.067797224290E1,4.678571179270E0,5.796722636094E1)); #3594=CARTESIAN_POINT('',(-2.063572023395E1,4.557841349727E0,5.876876421880E1)); #3595=CARTESIAN_POINT('',(-2.059486975951E1,4.432157371013E0,5.955087520659E1)); #3596=CARTESIAN_POINT('',(-2.055373321529E1,4.301032455891E0,6.034306289961E1)); #3597=CARTESIAN_POINT('',(-2.051020039695E1,4.163353984097E0,6.118234697727E1)); #3598=CARTESIAN_POINT('',(-2.046318539423E1,4.018600446850E0,6.208814197004E1)); #3599=CARTESIAN_POINT('',(-2.041206184093E1,3.862681172013E0,6.307421873987E1)); #3600=CARTESIAN_POINT('',(-2.035686955734E1,3.693060070686E0,6.414214804859E1)); #3601=CARTESIAN_POINT('',(-2.029776313322E1,3.509425305531E0,6.528987160673E1)); #3602=CARTESIAN_POINT('',(-2.023468502830E1,3.312023038668E0,6.651858438139E1)); #3603=CARTESIAN_POINT('',(-2.016698382240E1,3.099087200769E0,6.784125985870E1)); #3604=CARTESIAN_POINT('',(-2.009372644819E1,2.868481880844E0,6.927604567419E1)); #3605=CARTESIAN_POINT('',(-2.001425602133E1,2.618343421508E0,7.083627439459E1)); #3606=CARTESIAN_POINT('',(-1.992819502877E1,2.347032875010E0,7.253042605005E1)); #3607=CARTESIAN_POINT('',(-1.983543988299E1,2.053624632661E0,7.436181510930E1)); #3608=CARTESIAN_POINT('',(-1.973597236409E1,1.737640038810E0,7.633188175824E1)); #3609=CARTESIAN_POINT('',(-1.962940445061E1,1.397943219116E0,7.844879249945E1)); #3610=CARTESIAN_POINT('',(-1.951481701366E1,1.031706558148E0,8.073117530203E1)); #3611=CARTESIAN_POINT('',(-1.939096134622E1,6.348537461718E-1, 8.320437736603E1)); #3612=CARTESIAN_POINT('',(-1.925756695079E1,2.064310968128E-1, 8.587413906726E1)); #3613=CARTESIAN_POINT('',(-1.911384834560E1,-2.560469584293E-1, 8.875564311333E1)); #3614=CARTESIAN_POINT('',(-1.895797228001E1,-7.586461467845E-1, 9.188643679042E1)); #3615=CARTESIAN_POINT('',(-1.878873796615E1,-1.305870563251E0, 9.529441189185E1)); #3616=CARTESIAN_POINT('',(-1.860966268168E1,-1.887099774299E0, 9.891322940289E1)); #3617=CARTESIAN_POINT('',(-1.842906789415E1,-2.476051059696E0, 1.025791707124E2)); #3618=CARTESIAN_POINT('',(-1.825586691703E1,-3.044001587694E0, 1.061135916081E2)); #3619=CARTESIAN_POINT('',(-1.809651543865E1,-3.569654531152E0, 1.093842340953E2)); #3620=CARTESIAN_POINT('',(-1.795417867070E1,-4.042075557690E0, 1.123231515841E2)); #3621=CARTESIAN_POINT('',(-1.782918836370E1,-4.459370275654E0, 1.149183907910E2)); #3622=CARTESIAN_POINT('',(-1.771949783197E1,-4.827599381357E0, 1.172077664544E2)); #3623=CARTESIAN_POINT('',(-1.762209386640E1,-5.156311201459E0, 1.192506472689E2)); #3624=CARTESIAN_POINT('',(-1.753450796212E1,-5.453263220463E0, 1.210959454594E2)); #3625=CARTESIAN_POINT('',(-1.745498612775E1,-5.723902201656E0, 1.227782397233E2)); #3626=CARTESIAN_POINT('',(-1.738239003199E1,-5.971698786828E0, 1.243196595468E2)); #3627=CARTESIAN_POINT('',(-1.731580560010E1,-6.199961092922E0, 1.257391820887E2)); #3628=CARTESIAN_POINT('',(-1.725432002426E1,-6.411964972885E0, 1.270559272807E2)); #3629=CARTESIAN_POINT('',(-1.719772840285E1,-6.608502030777E0, 1.282739503970E2)); #3630=CARTESIAN_POINT('',(-1.714615105848E1,-6.788887787760E0, 1.293894772631E2)); #3631=CARTESIAN_POINT('',(-1.709934243674E1,-6.952985108029E0, 1.304048511468E2)); #3632=CARTESIAN_POINT('',(-1.705613544209E1,-7.104341969999E0, 1.313435589744E2)); #3633=CARTESIAN_POINT('',(-1.701474978383E1,-7.248881882212E0, 1.322431995482E2)); #3634=CARTESIAN_POINT('',(-1.697364588412E1,-7.391465753268E0, 1.331357844094E2)); #3635=CARTESIAN_POINT('',(-1.693196725128E1,-7.535436514507E0, 1.340409485383E2)); #3636=CARTESIAN_POINT('',(-1.689011586308E1,-7.682608342732E0, 1.349581662763E2)); #3637=CARTESIAN_POINT('',(-1.685013306106E1,-7.830865482684E0, 1.358559659750E2)); #3638=CARTESIAN_POINT('',(-1.681295514863E1,-7.971058395697E0, 1.366982425087E2)); #3639=CARTESIAN_POINT('',(-1.677806617909E1,-8.094025665750E0, 1.374674332957E2)); #3640=CARTESIAN_POINT('',(-1.674374983124E1,-8.201630211025E0, 1.381896246563E2)); #3641=CARTESIAN_POINT('',(-1.670723043037E1,-8.296398203255E0, 1.389059980275E2)); #3642=CARTESIAN_POINT('',(-1.666638991189E1,-8.375039144019E0, 1.396336063905E2)); #3643=CARTESIAN_POINT('',(-1.661993739763E1,-8.430071181377E0, 1.403671208716E2)); #3644=CARTESIAN_POINT('',(-1.656818991307E1,-8.460844405472E0, 1.410993065339E2)); #3645=CARTESIAN_POINT('',(-1.650973196306E1,-8.469695229450E0, 1.418519835391E2)); #3646=CARTESIAN_POINT('',(-1.644079216110E1,-8.454951363882E0, 1.426647487090E2)); #3647=CARTESIAN_POINT('',(-1.635552358137E1,-8.407691266118E0, 1.435806920880E2)); #3648=CARTESIAN_POINT('',(-1.624989043210E1,-8.314921802499E0, 1.446057871655E2)); #3649=CARTESIAN_POINT('',(-1.612316417691E1,-8.166251658425E0, 1.457097844925E2)); #3650=CARTESIAN_POINT('',(-1.597872663938E1,-7.960393299830E0, 1.468384425435E2)); #3651=CARTESIAN_POINT('',(-1.582192174664E1,-7.705126084855E0, 1.479428155791E2)); #3652=CARTESIAN_POINT('',(-1.565924588903E1,-7.414435938956E0, 1.489832462275E2)); #3653=CARTESIAN_POINT('',(-1.549687751212E1,-7.104373564727E0, 1.499349748634E2)); #3654=CARTESIAN_POINT('',(-1.533914057327E1,-6.788351567669E0, 1.507907300694E2)); #3655=CARTESIAN_POINT('',(-1.518763754627E1,-6.473894474493E0, 1.515583933933E2)); #3656=CARTESIAN_POINT('',(-1.504272238912E1,-6.164888224213E0, 1.522492867335E2)); #3657=CARTESIAN_POINT('',(-1.490489350223E1,-5.864801838874E0, 1.528710868537E2)); #3658=CARTESIAN_POINT('',(-1.477566455720E1,-5.578674679462E0, 1.534259279580E2)); #3659=CARTESIAN_POINT('',(-1.465505389433E1,-5.307953591071E0, 1.539213980409E2)); #3660=CARTESIAN_POINT('',(-1.454066674725E1,-5.048176175718E0, 1.543729301129E2)); #3661=CARTESIAN_POINT('',(-1.442739915227E1,-4.788316305470E0, 1.548034628577E2)); #3662=CARTESIAN_POINT('',(-1.431218953076E1,-4.521127042641E0, 1.552254363228E2)); #3663=CARTESIAN_POINT('',(-1.419528433391E1,-4.248028850754E0, 1.556402918876E2)); #3664=CARTESIAN_POINT('',(-1.407736896155E1,-3.971928688544E0, 1.560482642910E2)); #3665=CARTESIAN_POINT('',(-1.395912049533E1,-3.695479500536E0, 1.564494287076E2)); #3666=CARTESIAN_POINT('',(-1.384048894413E1,-3.419684805857E0, 1.568462350283E2)); #3667=CARTESIAN_POINT('',(-1.372090256434E1,-3.143541846471E0, 1.572415513688E2)); #3668=CARTESIAN_POINT('',(-1.359858299298E1,-2.865802826219E0, 1.576362410429E2)); #3669=CARTESIAN_POINT('',(-1.347349103263E1,-2.585982859801E0, 1.580309240652E2)); #3670=CARTESIAN_POINT('',(-1.334902922267E1,-2.305875264062E0, 1.584249177988E2)); #3671=CARTESIAN_POINT('',(-1.323227409056E1,-2.032623013057E0, 1.588149161395E2)); #3672=CARTESIAN_POINT('',(-1.312023184963E1,-1.766443869036E0, 1.592011647687E2)); #3673=CARTESIAN_POINT('',(-1.300454574187E1,-1.497908456697E0, 1.595962141644E2)); #3674=CARTESIAN_POINT('',(-1.287543284183E1,-1.210803744353E0, 1.600240006837E2)); #3675=CARTESIAN_POINT('',(-1.272875987017E1,-9.002994872382E-1, 1.604955309990E2)); #3676=CARTESIAN_POINT('',(-1.255072872700E1,-5.696759688619E-1, 1.609995679636E2)); #3677=CARTESIAN_POINT('',(-1.234752049277E1,-2.349830001201E-1, 1.615092077481E2)); #3678=CARTESIAN_POINT('',(-1.212657034031E1,9.543941120861E-2, 1.620114165071E2)); #3679=CARTESIAN_POINT('',(-1.188474858182E1,4.272945998724E-1, 1.625146653209E2)); #3680=CARTESIAN_POINT('',(-1.161239402522E1,7.701744788034E-1, 1.630323543877E2)); #3681=CARTESIAN_POINT('',(-1.129788753229E1,1.132842859027E0,1.635766871799E2)); #3682=CARTESIAN_POINT('',(-1.092650560756E1,1.522834851977E0,1.641576085757E2)); #3683=CARTESIAN_POINT('',(-1.048025803724E1,1.945789485650E0,1.647816158275E2)); #3684=CARTESIAN_POINT('',(-9.939849711848E0,2.403997683788E0,1.654505451992E2)); #3685=CARTESIAN_POINT('',(-9.282253062113E0,2.896177140921E0,1.661614565004E2)); #3686=CARTESIAN_POINT('',(-8.481972483032E0,3.415453161227E0,1.669043905330E2)); #3687=CARTESIAN_POINT('',(-7.511628196787E0,3.947720657481E0,1.676608862291E2)); #3688=CARTESIAN_POINT('',(-6.334366231732E0,4.474048759657E0,1.684066327269E2)); #3689=CARTESIAN_POINT('',(-4.904452860187E0,4.967395872137E0,1.691057635751E2)); #3690=CARTESIAN_POINT('',(-3.153136092247E0,5.383367096596E0,1.696980409604E2)); #3691=CARTESIAN_POINT('',(-1.080026705201E0,5.636924531597E0,1.700605480485E2)); #3692=CARTESIAN_POINT('',(1.136567468332E0,5.633256911510E0,1.700553197827E2)); #3693=CARTESIAN_POINT('',(3.202199979591E0,5.374305681506E0,1.696850891842E2)); #3694=CARTESIAN_POINT('',(4.943985894349E0,4.955666798175E0,1.690891211197E2)); #3695=CARTESIAN_POINT('',(6.366246914210E0,4.461306385218E0,1.683885860132E2)); #3696=CARTESIAN_POINT('',(7.537719195857E0,3.934657099645E0,1.676423580545E2)); #3697=CARTESIAN_POINT('',(8.503289984422E0,3.402615418755E0,1.668860938387E2)); #3698=CARTESIAN_POINT('',(9.299599398169E0,2.883998074964E0,1.661439506051E2)); #3699=CARTESIAN_POINT('',(9.953842137354E0,2.392782764278E0,1.654342575909E2)); #3700=CARTESIAN_POINT('',(1.049167208762E1,1.935510080491E0,1.647665257329E2)); #3701=CARTESIAN_POINT('',(1.093597975255E1,1.513352233686E0,1.641435467574E2)); #3702=CARTESIAN_POINT('',(1.130600105946E1,1.123890946870E0,1.635632977404E2)); #3703=CARTESIAN_POINT('',(1.161971769459E1,7.613468430468E-1,1.630190638869E2)); #3704=CARTESIAN_POINT('',(1.189179544119E1,4.180466710500E-1,1.625006715576E2)); #3705=CARTESIAN_POINT('',(1.213376801352E1,8.514580761030E-2,1.619957833051E2)); #3706=CARTESIAN_POINT('',(1.235511195789E1,-2.468107731688E-1, 1.614912251215E2)); #3707=CARTESIAN_POINT('',(1.255818196608E1,-5.826588390535E-1, 1.609797895021E2)); #3708=CARTESIAN_POINT('',(1.273508564757E1,-9.130284591510E-1, 1.604760966436E2)); #3709=CARTESIAN_POINT('',(1.288064557860E1,-1.222190455053E0,1.600069067546E2)); #3710=CARTESIAN_POINT('',(1.300875978777E1,-1.507515070022E0,1.595820102745E2)); #3711=CARTESIAN_POINT('',(1.312382339168E1,-1.774950879530E0,1.591887241659E2)); #3712=CARTESIAN_POINT('',(1.323578077806E1,-2.040898196752E0,1.588030241390E2)); #3713=CARTESIAN_POINT('',(1.335260331696E1,-2.314125729686E0,1.584132287185E2)); #3714=CARTESIAN_POINT('',(1.347718749450E1,-2.594158210843E0,1.580194577107E2)); #3715=CARTESIAN_POINT('',(1.360210694211E1,-2.873768993093E0,1.576249508949E2)); #3716=CARTESIAN_POINT('',(1.372439814311E1,-3.151539215645E0,1.572301484878E2)); #3717=CARTESIAN_POINT('',(1.384399060829E1,-3.427810694594E0,1.568345729243E2)); #3718=CARTESIAN_POINT('',(1.396264710207E1,-3.703704426837E0,1.564375632868E2)); #3719=CARTESIAN_POINT('',(1.408086615336E1,-3.980118035611E0,1.560362892169E2)); #3720=CARTESIAN_POINT('',(1.419868912624E1,-4.255996290303E0,1.556283660142E2)); #3721=CARTESIAN_POINT('',(1.431543203989E1,-4.528680357465E0,1.552137483073E2)); #3722=CARTESIAN_POINT('',(1.443040146588E1,-4.795235178910E0,1.547922468848E2)); #3723=CARTESIAN_POINT('',(1.454320193996E1,-5.053957733642E0,1.543630752779E2)); #3724=CARTESIAN_POINT('',(1.465659976386E1,-5.311430593292E0,1.539150985298E2)); #3725=CARTESIAN_POINT('',(1.477510443764E1,-5.577396311553E0,1.534281069172E2)); #3726=CARTESIAN_POINT('',(1.490029726634E1,-5.854642053011E0,1.528909295078E2)); #3727=CARTESIAN_POINT('',(1.503168028376E1,-6.140952471494E0,1.522997259437E2)); #3728=CARTESIAN_POINT('',(1.516774852070E1,-6.431764449613E0,1.516547559520E2)); #3729=CARTESIAN_POINT('',(1.530856844325E1,-6.725464447628E0,1.509485450447E2)); #3730=CARTESIAN_POINT('',(1.545456376960E1,-7.020588389445E0,1.501692947305E2)); #3731=CARTESIAN_POINT('',(1.560545710643E1,-7.313303717426E0,1.493056517252E2)); #3732=CARTESIAN_POINT('',(1.575898473496E1,-7.594956281282E0,1.483550004498E2)); #3733=CARTESIAN_POINT('',(1.591102943707E1,-7.853243936298E0,1.473272822252E2)); #3734=CARTESIAN_POINT('',(1.605644547330E1,-8.074960438930E0,1.462451039278E2)); #3735=CARTESIAN_POINT('',(1.619026396386E1,-8.249296921226E0,1.451402065930E2)); #3736=CARTESIAN_POINT('',(1.630666223300E1,-8.369036095956E0,1.440688084105E2)); #3737=CARTESIAN_POINT('',(1.640241638161E1,-8.437307601623E0,1.430883280624E2)); #3738=CARTESIAN_POINT('',(1.647887166389E1,-8.466027329388E0,1.422246889686E2)); #3739=CARTESIAN_POINT('',(1.654144575289E1,-8.467711291557E0,1.414518715854E2)); #3740=CARTESIAN_POINT('',(1.659584501731E1,-8.447550875348E0,1.407169403302E2)); #3741=CARTESIAN_POINT('',(1.664471965039E1,-8.404834204708E0,1.399871029126E2)); #3742=CARTESIAN_POINT('',(1.668823129632E1,-8.336650689974E0,1.392544478586E2)); #3743=CARTESIAN_POINT('',(1.672660590445E1,-8.248930438351E0,1.385334115234E2)); #3744=CARTESIAN_POINT('',(1.676163538630E1,-8.147459572618E0,1.378182150454E2)); #3745=CARTESIAN_POINT('',(1.679593689645E1,-8.032475040407E0,1.370770777183E2)); #3746=CARTESIAN_POINT('',(1.683190649795E1,-7.900250155242E0,1.362704117685E2)); #3747=CARTESIAN_POINT('',(1.687052590111E1,-7.753954262902E0,1.353945002686E2)); #3748=CARTESIAN_POINT('',(1.691182447348E1,-7.605753795330E0,1.344808552589E2)); #3749=CARTESIAN_POINT('',(1.695377663811E1,-7.459956151738E0,1.335667274519E2)); #3750=CARTESIAN_POINT('',(1.699516308235E1,-7.317024869739E0,1.326688462024E2)); #3751=CARTESIAN_POINT('',(1.703622308342E1,-7.173940561088E0,1.317763556845E2)); #3752=CARTESIAN_POINT('',(1.707817461698E1,-7.027177368948E0,1.308646319979E2)); #3753=CARTESIAN_POINT('',(1.712256070348E1,-6.871570815217E0,1.299009199843E2)); #3754=CARTESIAN_POINT('',(1.717091123054E1,-6.702198775362E0,1.288534567486E2)); #3755=CARTESIAN_POINT('',(1.722363323102E1,-6.518345438650E0,1.277156293866E2)); #3756=CARTESIAN_POINT('',(1.728065836974E1,-6.321007889334E0,1.264912094992E2)); #3757=CARTESIAN_POINT('',(1.734165909584E1,-6.111203204481E0,1.251873549705E2)); #3758=CARTESIAN_POINT('',(1.740719582031E1,-5.886950269650E0,1.237924088966E2)); #3759=CARTESIAN_POINT('',(1.747803988970E1,-5.645365189796E0,1.222899745531E2)); #3760=CARTESIAN_POINT('',(1.755498794685E1,-5.383739650755E0,1.206638968160E2)); #3761=CARTESIAN_POINT('',(1.763883170280E1,-5.099737797541E0,1.188990963827E2)); #3762=CARTESIAN_POINT('',(1.773020293498E1,-4.791626085060E0,1.169841190425E2)); #3763=CARTESIAN_POINT('',(1.782955340615E1,-4.458224331410E0,1.149112347190E2)); #3764=CARTESIAN_POINT('',(1.793721346821E1,-4.098694361031E0,1.126752790981E2)); #3765=CARTESIAN_POINT('',(1.805368424078E1,-3.711671068197E0,1.102677489900E2)); #3766=CARTESIAN_POINT('',(1.818002135049E1,-3.293973691836E0,1.076689519762E2)); #3767=CARTESIAN_POINT('',(1.831786858038E1,-2.840477846132E0,1.048470831445E2)); #3768=CARTESIAN_POINT('',(1.846877063143E1,-2.346435850973E0,1.017724068551E2)); #3769=CARTESIAN_POINT('',(1.863226074514E1,-1.813676567722E0,9.845610364032E1)); #3770=CARTESIAN_POINT('',(1.880542654732E1,-1.251818884626E0,9.495781903750E1)); #3771=CARTESIAN_POINT('',(1.898268847282E1,-6.788132149366E-1, 9.138920645660E1)); #3772=CARTESIAN_POINT('',(1.915678702790E1,-1.177394758037E-1, 8.789400758880E1)); #3773=CARTESIAN_POINT('',(1.931984368449E1,4.066181891610E-1,8.462670277565E1)); #3774=CARTESIAN_POINT('',(1.946719249549E1,8.792723095439E-1,8.168117051461E1)); #3775=CARTESIAN_POINT('',(1.959830393286E1,1.298665341755E0,7.906746305635E1)); #3776=CARTESIAN_POINT('',(1.971601107008E1,1.674121669779E0,7.672778542399E1)); #3777=CARTESIAN_POINT('',(1.982302238118E1,2.014273052775E0,7.460731008560E1)); #3778=CARTESIAN_POINT('',(1.992090712002E1,2.324040805564E0,7.267403690028E1)); #3779=CARTESIAN_POINT('',(2.001027260012E1,2.605800447133E0,7.091454853459E1)); #3780=CARTESIAN_POINT('',(2.009159450480E1,2.861772413893E0,6.931783429002E1)); #3781=CARTESIAN_POINT('',(2.016569191890E1,3.095019390157E0,6.786652847591E1)); #3782=CARTESIAN_POINT('',(2.023369513316E1,3.308918620048E0,6.653789324016E1)); #3783=CARTESIAN_POINT('',(2.029697029907E1,3.506957408932E0,6.530528525439E1)); #3784=CARTESIAN_POINT('',(2.035640406826E1,3.691630097761E0,6.415115813920E1)); #3785=CARTESIAN_POINT('',(2.041204539814E1,3.862637362559E0,6.307452432756E1)); #3786=CARTESIAN_POINT('',(2.046358448080E1,4.019816685504E0,6.208044789049E1)); #3787=CARTESIAN_POINT('',(2.051086503576E1,4.165410711262E0,6.116954669422E1)); #3788=CARTESIAN_POINT('',(2.055450645507E1,4.303506235452E0,6.032815050244E1)); #3789=CARTESIAN_POINT('',(2.059565805026E1,4.434636041233E0,5.953573357552E1)); #3790=CARTESIAN_POINT('',(2.063650303801E1,4.560174741819E0,5.875384038777E1)); #3791=CARTESIAN_POINT('',(2.067876562320E1,4.680716572872E0,5.795226467828E1)); #3792=CARTESIAN_POINT('',(2.072284777303E1,4.791381819440E0,5.712674619174E1)); #3793=CARTESIAN_POINT('',(2.076810116260E1,4.884661214757E0,5.629213998525E1)); #3794=CARTESIAN_POINT('',(2.081373804800E1,4.954212926693E0,5.546444004387E1)); #3795=CARTESIAN_POINT('',(2.086037494406E1,4.997982773427E0,5.463292269989E1)); #3796=CARTESIAN_POINT('',(2.090925745024E1,5.017591458201E0,5.377354585685E1)); #3797=CARTESIAN_POINT('',(2.096226487562E1,5.010865455181E0,5.285278299517E1)); #3798=CARTESIAN_POINT('',(2.102115227940E1,4.971526165835E0,5.184020193914E1)); #3799=CARTESIAN_POINT('',(2.108682519504E1,4.888439998343E0,5.072079898562E1)); #3800=CARTESIAN_POINT('',(2.115924396943E1,4.748912441822E0,4.949470379512E1)); #3801=CARTESIAN_POINT('',(2.123806513276E1,4.539296059626E0,4.816547812342E1)); #3802=CARTESIAN_POINT('',(2.132337616239E1,4.242112521477E0,4.672748726566E1)); #3803=CARTESIAN_POINT('',(2.141563863896E1,3.833973880230E0,4.516582159835E1)); #3804=CARTESIAN_POINT('',(2.151515597351E1,3.284178427862E0,4.346385757189E1)); #3805=CARTESIAN_POINT('',(2.162013107974E1,2.562783133310E0,4.163527018854E1)); #3806=CARTESIAN_POINT('',(2.172635170868E1,1.654083492159E0,3.973075602955E1)); #3807=CARTESIAN_POINT('',(2.182850893240E1,5.660544600499E-1,3.782206476635E1)); #3808=CARTESIAN_POINT('',(2.192231497027E1,-6.828706881167E-1, 3.597028013250E1)); #3809=CARTESIAN_POINT('',(2.200509550779E1,-2.070275490581E0,3.421473754366E1)); #3810=CARTESIAN_POINT('',(2.207373043408E1,-3.539609356265E0,3.261817963868E1)); #3811=CARTESIAN_POINT('',(2.212656067217E1,-5.006737775740E0,3.123736403234E1)); #3812=CARTESIAN_POINT('',(2.216472239776E1,-6.395535904313E0,3.008900474030E1)); #3813=CARTESIAN_POINT('',(2.219117524549E1,-7.680904433383E0,2.914404350743E1)); #3814=CARTESIAN_POINT('',(2.220887403582E1,-8.873260753589E0,2.835730520918E1)); #3815=CARTESIAN_POINT('',(2.221989351151E1,-9.976866417243E0,2.769848543292E1)); #3816=CARTESIAN_POINT('',(2.222585156104E1,-1.100477509185E1,2.713982472819E1)); #3817=CARTESIAN_POINT('',(2.222795340688E1,-1.201003846972E1,2.664032774885E1)); #3818=CARTESIAN_POINT('',(2.222636162023E1,-1.303364554015E1,2.617838093748E1)); #3819=CARTESIAN_POINT('',(2.222062799677E1,-1.409152477622E1,2.575245687954E1)); #3820=CARTESIAN_POINT('',(2.221061078915E1,-1.516769371991E1,2.537159477819E1)); #3821=CARTESIAN_POINT('',(2.219700281417E1,-1.625362384945E1,2.502576593976E1)); #3822=CARTESIAN_POINT('',(2.218033279258E1,-1.735252708209E1,2.470205254216E1)); #3823=CARTESIAN_POINT('',(2.216124866880E1,-1.846354144338E1,2.438787589405E1)); #3824=CARTESIAN_POINT('',(2.214091833761E1,-1.957099779475E1,2.407116192935E1)); #3825=CARTESIAN_POINT('',(2.211213522355E1,-2.105442350496E1,2.364006638377E1)); #3826=CARTESIAN_POINT('',(2.208842479751E1,-2.216703626944E1,2.331735847706E1)); #3827=CARTESIAN_POINT('',(2.207084397581E1,-2.292804083908E1,2.310512398180E1)); #3828=(BOUNDED_SURFACE()B_SPLINE_SURFACE(3,3,((#2744,#2745,#2746,#2747,#2748, #2749,#2750,#2751,#2752,#2753,#2754,#2755,#2756,#2757,#2758,#2759,#2760,#2761, #2762,#2763,#2764,#2765,#2766,#2767,#2768,#2769,#2770,#2771,#2772,#2773,#2774, #2775,#2776,#2777,#2778,#2779,#2780,#2781,#2782,#2783,#2784,#2785,#2786,#2787, #2788,#2789,#2790,#2791,#2792,#2793,#2794,#2795,#2796,#2797,#2798,#2799,#2800, #2801,#2802,#2803,#2804,#2805,#2806,#2807,#2808,#2809,#2810,#2811,#2812,#2813, #2814,#2815,#2816,#2817,#2818,#2819,#2820,#2821,#2822,#2823,#2824,#2825,#2826, #2827,#2828,#2829,#2830,#2831,#2832,#2833,#2834,#2835,#2836,#2837,#2838,#2839, #2840,#2841,#2842,#2843,#2844,#2845,#2846,#2847,#2848,#2849,#2850,#2851,#2852, #2853,#2854,#2855,#2856,#2857,#2858,#2859,#2860,#2861,#2862,#2863,#2864,#2865, #2866,#2867,#2868,#2869,#2870,#2871,#2872,#2873,#2874,#2875,#2876,#2877,#2878, #2879,#2880,#2881,#2882,#2883,#2884,#2885,#2886,#2887,#2888,#2889,#2890,#2891, #2892,#2893,#2894,#2895,#2896,#2897,#2898,#2899,#2900,#2901,#2902,#2903,#2904, #2905,#2906,#2907,#2908,#2909,#2910,#2911,#2912,#2913,#2914,#2915,#2916,#2917, #2918,#2919,#2920,#2921,#2922,#2923,#2924,#2925,#2926,#2927,#2928,#2929,#2930, #2931,#2932,#2933,#2934,#2935,#2936,#2937,#2938,#2939,#2940,#2941,#2942,#2943, #2944,#2945,#2946,#2947,#2948,#2949,#2950,#2951,#2952,#2953,#2954,#2955,#2956, #2957,#2958,#2959,#2960,#2961,#2962,#2963,#2964,#2965,#2966,#2967,#2968,#2969, #2970,#2971,#2972,#2973,#2974,#2975,#2976,#2977,#2978,#2979,#2980,#2981,#2982, #2983,#2984,#2985,#2986,#2987,#2988,#2989,#2990,#2991,#2992,#2993,#2994,#2995, #2996,#2997,#2998,#2999,#3000,#3001,#3002,#3003,#3004,#3005,#3006,#3007,#3008, #3009,#3010,#3011,#3012,#3013,#3014),(#3015,#3016,#3017,#3018,#3019,#3020,#3021, #3022,#3023,#3024,#3025,#3026,#3027,#3028,#3029,#3030,#3031,#3032,#3033,#3034, #3035,#3036,#3037,#3038,#3039,#3040,#3041,#3042,#3043,#3044,#3045,#3046,#3047, #3048,#3049,#3050,#3051,#3052,#3053,#3054,#3055,#3056,#3057,#3058,#3059,#3060, #3061,#3062,#3063,#3064,#3065,#3066,#3067,#3068,#3069,#3070,#3071,#3072,#3073, #3074,#3075,#3076,#3077,#3078,#3079,#3080,#3081,#3082,#3083,#3084,#3085,#3086, #3087,#3088,#3089,#3090,#3091,#3092,#3093,#3094,#3095,#3096,#3097,#3098,#3099, #3100,#3101,#3102,#3103,#3104,#3105,#3106,#3107,#3108,#3109,#3110,#3111,#3112, #3113,#3114,#3115,#3116,#3117,#3118,#3119,#3120,#3121,#3122,#3123,#3124,#3125, #3126,#3127,#3128,#3129,#3130,#3131,#3132,#3133,#3134,#3135,#3136,#3137,#3138, #3139,#3140,#3141,#3142,#3143,#3144,#3145,#3146,#3147,#3148,#3149,#3150,#3151, #3152,#3153,#3154,#3155,#3156,#3157,#3158,#3159,#3160,#3161,#3162,#3163,#3164, #3165,#3166,#3167,#3168,#3169,#3170,#3171,#3172,#3173,#3174,#3175,#3176,#3177, #3178,#3179,#3180,#3181,#3182,#3183,#3184,#3185,#3186,#3187,#3188,#3189,#3190, #3191,#3192,#3193,#3194,#3195,#3196,#3197,#3198,#3199,#3200,#3201,#3202,#3203, #3204,#3205,#3206,#3207,#3208,#3209,#3210,#3211,#3212,#3213,#3214,#3215,#3216, #3217,#3218,#3219,#3220,#3221,#3222,#3223,#3224,#3225,#3226,#3227,#3228,#3229, #3230,#3231,#3232,#3233,#3234,#3235,#3236,#3237,#3238,#3239,#3240,#3241,#3242, #3243,#3244,#3245,#3246,#3247,#3248,#3249,#3250,#3251,#3252,#3253,#3254,#3255, #3256,#3257,#3258,#3259,#3260,#3261,#3262,#3263,#3264,#3265,#3266,#3267,#3268, #3269,#3270,#3271,#3272,#3273,#3274,#3275,#3276,#3277,#3278,#3279,#3280,#3281, #3282,#3283,#3284,#3285),(#3286,#3287,#3288,#3289,#3290,#3291,#3292,#3293,#3294, #3295,#3296,#3297,#3298,#3299,#3300,#3301,#3302,#3303,#3304,#3305,#3306,#3307, #3308,#3309,#3310,#3311,#3312,#3313,#3314,#3315,#3316,#3317,#3318,#3319,#3320, #3321,#3322,#3323,#3324,#3325,#3326,#3327,#3328,#3329,#3330,#3331,#3332,#3333, #3334,#3335,#3336,#3337,#3338,#3339,#3340,#3341,#3342,#3343,#3344,#3345,#3346, #3347,#3348,#3349,#3350,#3351,#3352,#3353,#3354,#3355,#3356,#3357,#3358,#3359, #3360,#3361,#3362,#3363,#3364,#3365,#3366,#3367,#3368,#3369,#3370,#3371,#3372, #3373,#3374,#3375,#3376,#3377,#3378,#3379,#3380,#3381,#3382,#3383,#3384,#3385, #3386,#3387,#3388,#3389,#3390,#3391,#3392,#3393,#3394,#3395,#3396,#3397,#3398, #3399,#3400,#3401,#3402,#3403,#3404,#3405,#3406,#3407,#3408,#3409,#3410,#3411, #3412,#3413,#3414,#3415,#3416,#3417,#3418,#3419,#3420,#3421,#3422,#3423,#3424, #3425,#3426,#3427,#3428,#3429,#3430,#3431,#3432,#3433,#3434,#3435,#3436,#3437, #3438,#3439,#3440,#3441,#3442,#3443,#3444,#3445,#3446,#3447,#3448,#3449,#3450, #3451,#3452,#3453,#3454,#3455,#3456,#3457,#3458,#3459,#3460,#3461,#3462,#3463, #3464,#3465,#3466,#3467,#3468,#3469,#3470,#3471,#3472,#3473,#3474,#3475,#3476, #3477,#3478,#3479,#3480,#3481,#3482,#3483,#3484,#3485,#3486,#3487,#3488,#3489, #3490,#3491,#3492,#3493,#3494,#3495,#3496,#3497,#3498,#3499,#3500,#3501,#3502, #3503,#3504,#3505,#3506,#3507,#3508,#3509,#3510,#3511,#3512,#3513,#3514,#3515, #3516,#3517,#3518,#3519,#3520,#3521,#3522,#3523,#3524,#3525,#3526,#3527,#3528, #3529,#3530,#3531,#3532,#3533,#3534,#3535,#3536,#3537,#3538,#3539,#3540,#3541, #3542,#3543,#3544,#3545,#3546,#3547,#3548,#3549,#3550,#3551,#3552,#3553,#3554, #3555,#3556),(#3557,#3558,#3559,#3560,#3561,#3562,#3563,#3564,#3565,#3566,#3567, #3568,#3569,#3570,#3571,#3572,#3573,#3574,#3575,#3576,#3577,#3578,#3579,#3580, #3581,#3582,#3583,#3584,#3585,#3586,#3587,#3588,#3589,#3590,#3591,#3592,#3593, #3594,#3595,#3596,#3597,#3598,#3599,#3600,#3601,#3602,#3603,#3604,#3605,#3606, #3607,#3608,#3609,#3610,#3611,#3612,#3613,#3614,#3615,#3616,#3617,#3618,#3619, #3620,#3621,#3622,#3623,#3624,#3625,#3626,#3627,#3628,#3629,#3630,#3631,#3632, #3633,#3634,#3635,#3636,#3637,#3638,#3639,#3640,#3641,#3642,#3643,#3644,#3645, #3646,#3647,#3648,#3649,#3650,#3651,#3652,#3653,#3654,#3655,#3656,#3657,#3658, #3659,#3660,#3661,#3662,#3663,#3664,#3665,#3666,#3667,#3668,#3669,#3670,#3671, #3672,#3673,#3674,#3675,#3676,#3677,#3678,#3679,#3680,#3681,#3682,#3683,#3684, #3685,#3686,#3687,#3688,#3689,#3690,#3691,#3692,#3693,#3694,#3695,#3696,#3697, #3698,#3699,#3700,#3701,#3702,#3703,#3704,#3705,#3706,#3707,#3708,#3709,#3710, #3711,#3712,#3713,#3714,#3715,#3716,#3717,#3718,#3719,#3720,#3721,#3722,#3723, #3724,#3725,#3726,#3727,#3728,#3729,#3730,#3731,#3732,#3733,#3734,#3735,#3736, #3737,#3738,#3739,#3740,#3741,#3742,#3743,#3744,#3745,#3746,#3747,#3748,#3749, #3750,#3751,#3752,#3753,#3754,#3755,#3756,#3757,#3758,#3759,#3760,#3761,#3762, #3763,#3764,#3765,#3766,#3767,#3768,#3769,#3770,#3771,#3772,#3773,#3774,#3775, #3776,#3777,#3778,#3779,#3780,#3781,#3782,#3783,#3784,#3785,#3786,#3787,#3788, #3789,#3790,#3791,#3792,#3793,#3794,#3795,#3796,#3797,#3798,#3799,#3800,#3801, #3802,#3803,#3804,#3805,#3806,#3807,#3808,#3809,#3810,#3811,#3812,#3813,#3814, #3815,#3816,#3817,#3818,#3819,#3820,#3821,#3822,#3823,#3824,#3825,#3826,#3827)), .UNSPECIFIED.,.F.,.F.,.F.)B_SPLINE_SURFACE_WITH_KNOTS((4,4),(4,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0,1.E0),(-6.724972536688E-3,0.E0, 3.240240631663E-3,6.573242360423E-3,9.927567093932E-3,1.326292146207E-2, 1.660197172363E-2,1.997396542667E-2,2.339932141748E-2,2.677016408550E-2, 2.995764774785E-2,3.333830062668E-2,3.705669922403E-2,4.114132122412E-2, 4.562271513767E-2,5.060432428227E-2,5.627699851899E-2,6.263469016868E-2, 6.927372196621E-2,7.606508490125E-2,8.289025633011E-2,8.961570198795E-2, 9.587209325706E-2,1.013631201222E-1,1.062634161602E-1,1.106753154695E-1, 1.146964444750E-1,1.183850965565E-1,1.217284097014E-1,1.247132405453E-1, 1.274060404104E-1,1.299203578725E-1,1.323703864564E-1,1.348374137705E-1, 1.373361481234E-1,1.398218827678E-1,1.421895518163E-1,1.444549046579E-1, 1.467416455702E-1,1.491685093861E-1,1.518005743296E-1,1.546809044409E-1, 1.578239262646E-1,1.611907417786E-1,1.647679852113E-1,1.686223493060E-1, 1.728119552147E-1,1.773699895402E-1,1.823273185378E-1,1.876940985846E-1, 1.934598593848E-1,1.996353468568E-1,2.062918876511E-1,2.135110953446E-1, 2.213632856017E-1,2.297469171275E-1,2.388268785042E-1,2.488689509469E-1, 2.596874813103E-1,2.706193727025E-1,2.810749046903E-1,2.907374139714E-1, 2.993513184990E-1,3.068924452273E-1,3.135357512356E-1,3.194626764167E-1, 3.248383958301E-1,3.297453259224E-1,3.342396244650E-1,3.383774947024E-1, 3.422151479004E-1,3.458075087146E-1,3.490800513189E-1,3.520154666824E-1, 3.547255159196E-1,3.573221960252E-1,3.599130286183E-1,3.625555837285E-1, 3.652732819899E-1,3.679854501876E-1,3.704816794267E-1,3.726554779181E-1, 3.746817511836E-1,3.767260947943E-1,3.787890621057E-1,3.808451267976E-1, 3.828813711915E-1,3.849501154021E-1,3.871901650725E-1,3.897462624030E-1, 3.926985290454E-1,3.958946875890E-1,3.991770194474E-1,4.024272439827E-1, 4.055279531736E-1,4.083780413647E-1,4.109705552907E-1,4.133324477532E-1, 4.154908506157E-1,4.174729913430E-1,4.192747875990E-1,4.208729945018E-1, 4.223454188684E-1,4.237749289539E-1,4.252195784136E-1,4.266718405022E-1, 4.281190708316E-1,4.295608289161E-1,4.309992787657E-1,4.324379908003E-1, 4.338777753728E-1,4.353163107204E-1,4.367557437567E-1,4.381992270787E-1, 4.396353698878E-1,4.410735184458E-1,4.426427863435E-1,4.444749535532E-1, 4.464613656907E-1,4.484150192949E-1,4.503360280098E-1,4.522820524675E-1, 4.543114375422E-1,4.564830039002E-1,4.588558588699E-1,4.614807049071E-1, 4.644004222631E-1,4.676558091359E-1,4.712865001404E-1,4.753102520989E-1, 4.797466591876E-1,4.846954599284E-1,4.902726869558E-1,4.967051601236E-1, 5.035438586434E-1,5.099535674711E-1,5.155087262856E-1,5.204416217365E-1, 5.248660750203E-1,5.288804933883E-1,5.324997098450E-1,5.357453356065E-1, 5.386569641740E-1,5.412754732774E-1,5.436439247449E-1,5.458138569492E-1, 5.478450435412E-1,5.497968507227E-1,5.517274554682E-1,5.536910082243E-1, 5.556772449831E-1,5.574954994066E-1,5.590503807849E-1,5.604827926539E-1, 5.619185446013E-1,5.633607954934E-1,5.647984936925E-1,5.662364256434E-1, 5.676768513803E-1,5.691165834513E-1,5.705558696974E-1,5.719973832105E-1, 5.734435502223E-1,5.748947084878E-1,5.763367616245E-1,5.777626430714E-1, 5.792262681733E-1,5.808002204308E-1,5.825511785957E-1,5.844380840986E-1, 5.864544123952E-1,5.886309167099E-1,5.909983038795E-1,5.935871945796E-1, 5.964195141627E-1,5.994499582121E-1,6.026015018785E-1,6.057969545656E-1, 6.089260042667E-1,6.117232605222E-1,6.141043875617E-1,6.162237148925E-1, 6.182464803071E-1,6.202922485280E-1,6.223563531381E-1,6.244129786717E-1, 6.264371400830E-1,6.285120911891E-1,6.308455750065E-1,6.334829174052E-1, 6.362237064059E-1,6.389037772428E-1,6.415190674646E-1,6.441024360704E-1, 6.467364685539E-1,6.495234074586E-1,6.525655469897E-1,6.559374270634E-1, 6.595211377984E-1,6.633232318930E-1,6.673917042017E-1,6.717745582090E-1, 6.765197720712E-1,6.816751520362E-1,6.872771427309E-1,6.933421866874E-1, 6.998847022921E-1,7.069192861077E-1,7.144916380802E-1,7.227144759787E-1, 7.317091358931E-1,7.415028462390E-1,7.518488787876E-1,7.624427462121E-1, 7.728546983212E-1,7.825561547431E-1,7.911478887937E-1,7.987323031667E-1, 8.055182668842E-1,8.117026068842E-1,8.173617018542E-1,8.225033662309E-1, 8.271587965006E-1,8.313871809379E-1,8.352504333198E-1,8.388323087109E-1, 8.422198162144E-1,8.453937853775E-1,8.482990412758E-1,8.509454360569E-1, 8.533774938257E-1,8.556635983246E-1,8.579271952014E-1,8.602958513617E-1, 8.627831498902E-1,8.652816261270E-1,8.677481113130E-1,8.701992799083E-1, 8.727170181693E-1,8.754138879645E-1,8.784016068007E-1,8.817413633261E-1, 8.854129992836E-1,8.893962622024E-1,8.937340418704E-1,8.985034452026E-1, 9.037821177121E-1,9.096726618871E-1,9.160206863734E-1,9.225798332148E-1, 9.292420200747E-1,9.359134358139E-1,9.424999069940E-1,9.485584884979E-1, 9.538457394748E-1,9.585411825719E-1,9.627628492046E-1,9.665600691356E-1, 9.699788192633E-1,9.731727341962E-1,9.765473213075E-1,9.799809128225E-1, 9.833604423825E-1,9.867069323834E-1,9.900503451045E-1,9.934137367536E-1, 9.967554986691E-1,1.E0,1.006810524946E0),.UNSPECIFIED.)GEOMETRIC_REPRESENTATION_ITEM()RATIONAL_B_SPLINE_SURFACE(((1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0),(8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1),( 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1,8.578380892593E-1, 8.578380892593E-1,8.578380892593E-1,8.578380892593E-1),(1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0,1.426485732222E0,1.426485732222E0, 1.426485732222E0,1.426485732222E0)))REPRESENTATION_ITEM('')SURFACE()); #3829=ORIENTED_EDGE('',*,*,#2651,.T.); #3830=ORIENTED_EDGE('',*,*,#2736,.T.); #3831=ORIENTED_EDGE('',*,*,#1938,.F.); #3833=ORIENTED_EDGE('',*,*,#3832,.F.); #3834=EDGE_LOOP('',(#3829,#3830,#3831,#3833)); #3835=FACE_OUTER_BOUND('',#3834,.F.); #3837=CARTESIAN_POINT('',(-2.340818914434E1,-3.765846843692E1, 1.764950835640E1)); #3838=CARTESIAN_POINT('',(-2.366096200372E1,-3.679573410639E1, 1.786040681223E1)); #3839=CARTESIAN_POINT('',(-2.404323701860E1,-3.513637364319E1, 1.827468168515E1)); #3840=CARTESIAN_POINT('',(-2.436832920662E1,-3.275868375988E1, 1.889535686487E1)); #3841=CARTESIAN_POINT('',(-2.452440400439E1,-3.062182742189E1, 1.947335068213E1)); #3842=CARTESIAN_POINT('',(-2.458328243938E1,-2.870955501123E1, 2.000492912319E1)); #3843=CARTESIAN_POINT('',(-2.459092422634E1,-2.698314000116E1, 2.049412898594E1)); #3844=CARTESIAN_POINT('',(-2.457377869243E1,-2.536848170041E1, 2.095753511642E1)); #3845=CARTESIAN_POINT('',(-2.454625619612E1,-2.378486561676E1, 2.141584141907E1)); #3846=CARTESIAN_POINT('',(-2.451998578797E1,-2.218995064557E1, 2.188083951792E1)); #3847=CARTESIAN_POINT('',(-2.450820243608E1,-2.062695161759E1, 2.233974609053E1)); #3848=CARTESIAN_POINT('',(-2.451736511350E1,-1.960296703897E1, 2.264159389670E1)); #3849=CARTESIAN_POINT('',(-2.452745934103E1,-1.911232688697E1, 2.278459501860E1)); #3850=CARTESIAN_POINT('',(-2.342917191214E1,-3.791873749196E1, 1.861818689060E1)); #3851=CARTESIAN_POINT('',(-2.369101730525E1,-3.706031332537E1, 1.882773279648E1)); #3852=CARTESIAN_POINT('',(-2.409064372282E1,-3.540761382173E1, 1.923952604528E1)); #3853=CARTESIAN_POINT('',(-2.443773439501E1,-3.303488635473E1, 1.985740465793E1)); #3854=CARTESIAN_POINT('',(-2.460999599299E1,-3.089991816497E1, 2.043351650756E1)); #3855=CARTESIAN_POINT('',(-2.468007934228E1,-2.898818400003E1, 2.096385631483E1)); #3856=CARTESIAN_POINT('',(-2.469509729215E1,-2.726152638306E1, 2.145234501526E1)); #3857=CARTESIAN_POINT('',(-2.468251637590E1,-2.564622099467E1, 2.191542807537E1)); #3858=CARTESIAN_POINT('',(-2.465730048197E1,-2.406228489134E1, 2.237356051679E1)); #3859=CARTESIAN_POINT('',(-2.463119188092E1,-2.246758812435E1, 2.283847725746E1)); #3860=CARTESIAN_POINT('',(-2.461743246570E1,-2.090648056467E1, 2.329706125965E1)); #3861=CARTESIAN_POINT('',(-2.462394046185E1,-1.988145813047E1, 2.359951295705E1)); #3862=CARTESIAN_POINT('',(-2.463251535032E1,-1.938911909902E1, 2.374317079884E1)); #3863=CARTESIAN_POINT('',(-2.270467504270E1,-3.788709217405E1, 1.931145536865E1)); #3864=CARTESIAN_POINT('',(-2.296455616529E1,-3.706045132114E1, 1.952040146893E1)); #3865=CARTESIAN_POINT('',(-2.336498027028E1,-3.546379219858E1, 1.993135570795E1)); #3866=CARTESIAN_POINT('',(-2.371998519233E1,-3.315372040448E1, 2.054886138432E1)); #3867=CARTESIAN_POINT('',(-2.390102094907E1,-3.106089897984E1, 2.112514553525E1)); #3868=CARTESIAN_POINT('',(-2.397841732306E1,-2.917623643970E1, 2.165593844400E1)); #3869=CARTESIAN_POINT('',(-2.399871737396E1,-2.746575816706E1, 2.214510129758E1)); #3870=CARTESIAN_POINT('',(-2.398954653099E1,-2.585886502754E1, 2.260904397205E1)); #3871=CARTESIAN_POINT('',(-2.396605458691E1,-2.427744659439E1, 2.306811238984E1)); #3872=CARTESIAN_POINT('',(-2.394000300410E1,-2.267961979318E1, 2.353405341563E1)); #3873=CARTESIAN_POINT('',(-2.392471623707E1,-2.111064611606E1, 2.399349621486E1)); #3874=CARTESIAN_POINT('',(-2.392935821523E1,-2.007476231042E1, 2.429719443040E1)); #3875=CARTESIAN_POINT('',(-2.393694278866E1,-1.957535753707E1, 2.444174955163E1)); #3876=CARTESIAN_POINT('',(-2.175065805238E1,-3.758606909255E1, 1.923559396413E1)); #3877=CARTESIAN_POINT('',(-2.199893698004E1,-3.679604981829E1, 1.944512016221E1)); #3878=CARTESIAN_POINT('',(-2.238303697409E1,-3.526490063931E1, 1.985747551710E1)); #3879=CARTESIAN_POINT('',(-2.272623567958E1,-3.303055675279E1, 2.047729747923E1)); #3880=CARTESIAN_POINT('',(-2.290238432661E1,-3.099012536371E1, 2.105568549386E1)); #3881=CARTESIAN_POINT('',(-2.297799377361E1,-2.913978843089E1, 2.158830055747E1)); #3882=CARTESIAN_POINT('',(-2.299772014925E1,-2.745038913118E1, 2.207904277307E1)); #3883=CARTESIAN_POINT('',(-2.298837630883E1,-2.585497668868E1, 2.254441556150E1)); #3884=CARTESIAN_POINT('',(-2.296479792959E1,-2.427712062524E1, 2.300486322597E1)); #3885=CARTESIAN_POINT('',(-2.293865796988E1,-2.267504464445E1, 2.347220472270E1)); #3886=CARTESIAN_POINT('',(-2.292338028531E1,-2.109404921816E1, 2.393307608336E1)); #3887=CARTESIAN_POINT('',(-2.292827381683E1,-2.004521558688E1, 2.423777572047E1)); #3888=CARTESIAN_POINT('',(-2.293610236449E1,-1.953841016574E1, 2.438282967188E1)); #3889=(BOUNDED_SURFACE()B_SPLINE_SURFACE(3,3,((#3837,#3838,#3839,#3840,#3841, #3842,#3843,#3844,#3845,#3846,#3847,#3848,#3849),(#3850,#3851,#3852,#3853,#3854, #3855,#3856,#3857,#3858,#3859,#3860,#3861,#3862),(#3863,#3864,#3865,#3866,#3867, #3868,#3869,#3870,#3871,#3872,#3873,#3874,#3875),(#3876,#3877,#3878,#3879,#3880, #3881,#3882,#3883,#3884,#3885,#3886,#3887,#3888)),.UNSPECIFIED.,.F.,.F.,.F.)B_SPLINE_SURFACE_WITH_KNOTS((4,4),(4,1,1,1,1,1,1,1,1,1,4),(0.E0,1.E0),( 1.467655286089E-3,1.401757112852E-1,2.649636813738E-1,3.775849902422E-1, 4.794924563941E-1,5.706126189839E-1,6.553884523563E-1,7.408883313256E-1, 8.283204588597E-1,9.162287404121E-1,9.976321451097E-1),.UNSPECIFIED.)GEOMETRIC_REPRESENTATION_ITEM()RATIONAL_B_SPLINE_SURFACE(((1.216605146839E0, 1.216605146839E0,1.216605146839E0,1.216605146839E0,1.216605146839E0, 1.216605146839E0,1.216605146839E0,1.216605146839E0,1.216605146839E0, 1.216605146839E0,1.216605146839E0,1.216605146839E0,1.216605146839E0),( 9.277982843871E-1,9.277982843871E-1,9.277982843871E-1,9.277982843871E-1, 9.277982843871E-1,9.277982843871E-1,9.277982843871E-1,9.277982843871E-1, 9.277982843871E-1,9.277982843871E-1,9.277982843871E-1,9.277982843871E-1, 9.277982843871E-1),(9.277982843871E-1,9.277982843871E-1,9.277982843871E-1, 9.277982843871E-1,9.277982843871E-1,9.277982843871E-1,9.277982843871E-1, 9.277982843871E-1,9.277982843871E-1,9.277982843871E-1,9.277982843871E-1, 9.277982843871E-1,9.277982843871E-1),(1.216605146839E0,1.216605146839E0, 1.216605146839E0,1.216605146839E0,1.216605146839E0,1.216605146839E0, 1.216605146839E0,1.216605146839E0,1.216605146839E0,1.216605146839E0, 1.216605146839E0,1.216605146839E0,1.216605146839E0)))REPRESENTATION_ITEM('')SURFACE()); #3890=ORIENTED_EDGE('',*,*,#3832,.T.); #3891=ORIENTED_EDGE('',*,*,#1936,.F.); #3892=ORIENTED_EDGE('',*,*,#2078,.F.); #3894=ORIENTED_EDGE('',*,*,#3893,.T.); #3895=EDGE_LOOP('',(#3890,#3891,#3892,#3894)); #3896=FACE_OUTER_BOUND('',#3895,.F.); #3898=CARTESIAN_POINT('',(-2.652747176837E1,-1.917194019503E1, -2.297402657156E-1)); #3899=CARTESIAN_POINT('',(-2.651540020281E1,-2.536298840606E1, -2.102447204014E-1)); #3900=CARTESIAN_POINT('',(-2.719762133118E1,-3.179178383018E1, -1.892346618251E-1)); #3901=CARTESIAN_POINT('',(-2.537446463387E1,-3.771794773013E1, -1.720627677881E-1)); #3902=CARTESIAN_POINT('',(-2.561060212009E1,-1.917198117613E1, 7.469755020906E0)); #3903=CARTESIAN_POINT('',(-2.554726673212E1,-2.536195910665E1, 6.835023405104E0)); #3904=CARTESIAN_POINT('',(-2.628122176379E1,-3.175945965032E1, 6.151101379794E0)); #3905=CARTESIAN_POINT('',(-2.453577040345E1,-3.771891933593E1, 5.592080472700E0)); #3906=CARTESIAN_POINT('',(-2.497017042382E1,-1.917206455522E1, 1.533601857015E1)); #3907=CARTESIAN_POINT('',(-2.485783931325E1,-2.535944090554E1, 1.411883702465E1)); #3908=CARTESIAN_POINT('',(-2.560093451913E1,-3.173576041196E1, 1.279537402767E1)); #3909=CARTESIAN_POINT('',(-2.386744854138E1,-3.771963222246E1, 1.171751521222E1)); #3910=CARTESIAN_POINT('',(-2.452036658126E1,-1.917208018071E1, 2.324927089874E1)); #3911=CARTESIAN_POINT('',(-2.438974310448E1,-2.535901581457E1, 2.155203370174E1)); #3912=CARTESIAN_POINT('',(-2.514471855686E1,-3.172667332644E1, 1.969275110492E1)); #3913=CARTESIAN_POINT('',(-2.336905512872E1,-3.771990540450E1, 1.819723159544E1)); #3914=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#3898,#3899,#3900,#3901),(#3902, #3903,#3904,#3905),(#3906,#3907,#3908,#3909),(#3910,#3911,#3912,#3913)), .UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(-9.601801608042E-3,9.559874625369E-1),( -1.018260197650E-2,1.010118187771E0),.UNSPECIFIED.); #3915=ORIENTED_EDGE('',*,*,#2158,.T.); #3916=ORIENTED_EDGE('',*,*,#2653,.T.); #3917=ORIENTED_EDGE('',*,*,#3893,.F.); #3918=ORIENTED_EDGE('',*,*,#2143,.F.); #3919=EDGE_LOOP('',(#3915,#3916,#3917,#3918)); #3920=FACE_OUTER_BOUND('',#3919,.F.); #3922=CARTESIAN_POINT('',(0.E0,-1.183057951E1,-4.530920041278E1)); #3923=DIRECTION('',(0.E0,-2.588190451025E-1,9.659258262891E-1)); #3924=DIRECTION('',(0.E0,9.659258262891E-1,2.588190451025E-1)); #3925=AXIS2_PLACEMENT_3D('',#3922,#3923,#3924); #3926=CYLINDRICAL_SURFACE('',#3925,8.1E0); #3928=ORIENTED_EDGE('',*,*,#3927,.T.); #3930=ORIENTED_EDGE('',*,*,#3929,.T.); #3931=ORIENTED_EDGE('',*,*,#1944,.F.); #3933=ORIENTED_EDGE('',*,*,#3932,.F.); #3934=EDGE_LOOP('',(#3928,#3930,#3931,#3933)); #3935=FACE_OUTER_BOUND('',#3934,.F.); #3937=CARTESIAN_POINT('',(0.E0,-2.974118095490E1,2.153407417371E1)); #3938=DIRECTION('',(0.E0,-2.588190451025E-1,9.659258262891E-1)); #3939=DIRECTION('',(0.E0,-9.659258262891E-1,-2.588190451025E-1)); #3940=AXIS2_PLACEMENT_3D('',#3937,#3938,#3939); #3941=TOROIDAL_SURFACE('',#3940,7.25E0,1.E0); #3942=ORIENTED_EDGE('',*,*,#3927,.F.); #3944=ORIENTED_EDGE('',*,*,#3943,.T.); #3946=ORIENTED_EDGE('',*,*,#3945,.T.); #3948=ORIENTED_EDGE('',*,*,#3947,.F.); #3949=EDGE_LOOP('',(#3942,#3944,#3946,#3948)); #3950=FACE_OUTER_BOUND('',#3949,.F.); #3952=CARTESIAN_POINT('',(0.E0,-2.974118095490E1,2.153407417371E1)); #3953=DIRECTION('',(0.E0,-2.588190451025E-1,9.659258262891E-1)); #3954=DIRECTION('',(0.E0,-9.659258262891E-1,-2.588190451025E-1)); #3955=AXIS2_PLACEMENT_3D('',#3952,#3953,#3954); #3956=TOROIDAL_SURFACE('',#3955,7.25E0,1.E0); #3958=ORIENTED_EDGE('',*,*,#3957,.F.); #3959=ORIENTED_EDGE('',*,*,#3947,.T.); #3961=ORIENTED_EDGE('',*,*,#3960,.F.); #3962=ORIENTED_EDGE('',*,*,#3943,.F.); #3963=EDGE_LOOP('',(#3958,#3959,#3961,#3962)); #3964=FACE_OUTER_BOUND('',#3963,.F.); #3966=CARTESIAN_POINT('',(0.E0,-1.183057951E1,-4.530920041278E1)); #3967=DIRECTION('',(0.E0,-2.588190451025E-1,9.659258262891E-1)); #3968=DIRECTION('',(0.E0,9.659258262891E-1,2.588190451025E-1)); #3969=AXIS2_PLACEMENT_3D('',#3966,#3967,#3968); #3970=CYLINDRICAL_SURFACE('',#3969,8.1E0); #3971=ORIENTED_EDGE('',*,*,#3957,.T.); #3972=ORIENTED_EDGE('',*,*,#3932,.T.); #3973=ORIENTED_EDGE('',*,*,#1946,.F.); #3974=ORIENTED_EDGE('',*,*,#3929,.F.); #3975=EDGE_LOOP('',(#3971,#3972,#3973,#3974)); #3976=FACE_OUTER_BOUND('',#3975,.F.); #3978=CARTESIAN_POINT('',(0.E0,-3.E1,2.25E1)); #3979=DIRECTION('',(0.E0,-2.588190451025E-1,9.659258262891E-1)); #3980=DIRECTION('',(0.E0,-9.659258262891E-1,-2.588190451025E-1)); #3981=AXIS2_PLACEMENT_3D('',#3978,#3979,#3980); #3982=PLANE('',#3981); #3983=ORIENTED_EDGE('',*,*,#3960,.T.); #3984=ORIENTED_EDGE('',*,*,#3945,.F.); #3985=EDGE_LOOP('',(#3983,#3984)); #3986=FACE_OUTER_BOUND('',#3985,.F.); #3988=CARTESIAN_POINT('',(0.E0,-9.961032244504E0,1.486690505457E2)); #3989=DIRECTION('',(0.E0,-9.659258262891E-1,2.588190451025E-1)); #3990=DIRECTION('',(0.E0,-2.588190451025E-1,-9.659258262891E-1)); #3991=AXIS2_PLACEMENT_3D('',#3988,#3989,#3990); #3992=TOROIDAL_SURFACE('',#3991,1.17E1,3.E-1); #3993=ORIENTED_EDGE('',*,*,#1416,.T.); #3995=ORIENTED_EDGE('',*,*,#3994,.F.); #3997=ORIENTED_EDGE('',*,*,#3996,.T.); #3999=ORIENTED_EDGE('',*,*,#3998,.T.); #4000=EDGE_LOOP('',(#3993,#3995,#3997,#3999)); #4001=FACE_OUTER_BOUND('',#4000,.F.); #4003=CARTESIAN_POINT('',(0.E0,-9.961032244504E0,1.486690505457E2)); #4004=DIRECTION('',(0.E0,-9.659258262891E-1,2.588190451025E-1)); #4005=DIRECTION('',(0.E0,-2.588190451025E-1,-9.659258262891E-1)); #4006=AXIS2_PLACEMENT_3D('',#4003,#4004,#4005); #4007=TOROIDAL_SURFACE('',#4006,1.17E1,3.E-1); #4008=ORIENTED_EDGE('',*,*,#1401,.T.); #4009=ORIENTED_EDGE('',*,*,#3998,.F.); #4011=ORIENTED_EDGE('',*,*,#4010,.F.); #4012=ORIENTED_EDGE('',*,*,#3994,.T.); #4013=EDGE_LOOP('',(#4008,#4009,#4011,#4012)); #4014=FACE_OUTER_BOUND('',#4013,.F.); #4016=CARTESIAN_POINT('',(0.E0,-3.247847751795E0,1.468702581822E2)); #4017=DIRECTION('',(0.E0,-9.659258262891E-1,2.588190451025E-1)); #4018=DIRECTION('',(0.E0,-2.588190451025E-1,-9.659258262891E-1)); #4019=AXIS2_PLACEMENT_3D('',#4016,#4017,#4018); #4020=CYLINDRICAL_SURFACE('',#4019,1.2E1); #4022=ORIENTED_EDGE('',*,*,#4021,.T.); #4023=ORIENTED_EDGE('',*,*,#4010,.T.); #4025=ORIENTED_EDGE('',*,*,#4024,.F.); #4027=ORIENTED_EDGE('',*,*,#4026,.F.); #4028=EDGE_LOOP('',(#4022,#4023,#4025,#4027)); #4029=FACE_OUTER_BOUND('',#4028,.F.); #4031=CARTESIAN_POINT('',(0.E0,-3.247847751795E0,1.468702581822E2)); #4032=DIRECTION('',(0.E0,-9.659258262891E-1,2.588190451025E-1)); #4033=DIRECTION('',(0.E0,-2.588190451025E-1,-9.659258262891E-1)); #4034=AXIS2_PLACEMENT_3D('',#4031,#4032,#4033); #4035=CYLINDRICAL_SURFACE('',#4034,1.2E1); #4036=ORIENTED_EDGE('',*,*,#4021,.F.); #4038=ORIENTED_EDGE('',*,*,#4037,.T.); #4039=ORIENTED_EDGE('',*,*,#4024,.T.); #4040=ORIENTED_EDGE('',*,*,#3996,.F.); #4041=EDGE_LOOP('',(#4036,#4038,#4039,#4040)); #4042=FACE_OUTER_BOUND('',#4041,.F.); #4044=CARTESIAN_POINT('',(0.E0,-1.614295753275E1,1.503254924344E2)); #4045=DIRECTION('',(0.E0,-9.659258262891E-1,2.588190451025E-1)); #4046=DIRECTION('',(0.E0,-2.588190451025E-1,-9.659258262891E-1)); #4047=AXIS2_PLACEMENT_3D('',#4044,#4045,#4046); #4048=TOROIDAL_SURFACE('',#4047,1.17E1,3.E-1); #4050=ORIENTED_EDGE('',*,*,#4049,.F.); #4052=ORIENTED_EDGE('',*,*,#4051,.T.); #4054=ORIENTED_EDGE('',*,*,#4053,.T.); #4055=ORIENTED_EDGE('',*,*,#4037,.F.); #4056=EDGE_LOOP('',(#4050,#4052,#4054,#4055)); #4057=FACE_OUTER_BOUND('',#4056,.F.); #4059=CARTESIAN_POINT('',(0.E0,-1.614295753275E1,1.503254924344E2)); #4060=DIRECTION('',(0.E0,-9.659258262891E-1,2.588190451025E-1)); #4061=DIRECTION('',(0.E0,-2.588190451025E-1,-9.659258262891E-1)); #4062=AXIS2_PLACEMENT_3D('',#4059,#4060,#4061); #4063=TOROIDAL_SURFACE('',#4062,1.17E1,3.E-1); #4064=ORIENTED_EDGE('',*,*,#4049,.T.); #4065=ORIENTED_EDGE('',*,*,#4026,.T.); #4066=ORIENTED_EDGE('',*,*,#4053,.F.); #4068=ORIENTED_EDGE('',*,*,#4067,.F.); #4069=EDGE_LOOP('',(#4064,#4065,#4066,#4068)); #4070=FACE_OUTER_BOUND('',#4069,.F.); #4072=CARTESIAN_POINT('',(0.E0,-1.643273528064E1,1.504031381479E2)); #4073=DIRECTION('',(0.E0,-9.659258262891E-1,2.588190451025E-1)); #4074=DIRECTION('',(0.E0,-2.588190451025E-1,-9.659258262891E-1)); #4075=AXIS2_PLACEMENT_3D('',#4072,#4073,#4074); #4076=PLANE('',#4075); #4077=ORIENTED_EDGE('',*,*,#4051,.F.); #4078=ORIENTED_EDGE('',*,*,#4067,.T.); #4079=EDGE_LOOP('',(#4077,#4078)); #4080=FACE_OUTER_BOUND('',#4079,.F.); #4082=ORIENTED_EDGE('',*,*,#4081,.T.); #4084=ORIENTED_EDGE('',*,*,#4083,.T.); #4085=EDGE_LOOP('',(#4082,#4084)); #4086=FACE_BOUND('',#4085,.F.); #4088=CARTESIAN_POINT('',(0.E0,-1.643273528064E1,1.504031381479E2)); #4089=DIRECTION('',(0.E0,-9.659258262891E-1,2.588190451025E-1)); #4090=DIRECTION('',(0.E0,-2.588190451025E-1,-9.659258262891E-1)); #4091=AXIS2_PLACEMENT_3D('',#4088,#4089,#4090); #4092=PLANE('',#4091); #4094=ORIENTED_EDGE('',*,*,#4093,.F.); #4096=ORIENTED_EDGE('',*,*,#4095,.T.); #4098=ORIENTED_EDGE('',*,*,#4097,.T.); #4100=ORIENTED_EDGE('',*,*,#4099,.T.); #4101=EDGE_LOOP('',(#4094,#4096,#4098,#4100)); #4102=FACE_OUTER_BOUND('',#4101,.F.); #4104=CARTESIAN_POINT('',(0.E0,-1.643273528064E1,1.504031381479E2)); #4105=DIRECTION('',(0.E0,-9.659258262891E-1,2.588190451025E-1)); #4106=DIRECTION('',(0.E0,-2.588190451025E-1,-9.659258262891E-1)); #4107=AXIS2_PLACEMENT_3D('',#4104,#4105,#4106); #4108=PLANE('',#4107); #4110=ORIENTED_EDGE('',*,*,#4109,.F.); #4112=ORIENTED_EDGE('',*,*,#4111,.T.); #4114=ORIENTED_EDGE('',*,*,#4113,.F.); #4116=ORIENTED_EDGE('',*,*,#4115,.T.); #4117=EDGE_LOOP('',(#4110,#4112,#4114,#4116)); #4118=FACE_OUTER_BOUND('',#4117,.F.); #4120=CARTESIAN_POINT('',(0.E0,-1.643273528064E1,1.504031381479E2)); #4121=DIRECTION('',(0.E0,-9.659258262891E-1,2.588190451025E-1)); #4122=DIRECTION('',(1.E0,0.E0,0.E0)); #4123=AXIS2_PLACEMENT_3D('',#4120,#4121,#4122); #4124=CYLINDRICAL_SURFACE('',#4123,1.E1); #4126=ORIENTED_EDGE('',*,*,#4125,.T.); #4128=ORIENTED_EDGE('',*,*,#4127,.T.); #4130=ORIENTED_EDGE('',*,*,#4129,.T.); #4132=ORIENTED_EDGE('',*,*,#4131,.T.); #4134=ORIENTED_EDGE('',*,*,#4133,.T.); #4135=ORIENTED_EDGE('',*,*,#4109,.T.); #4137=ORIENTED_EDGE('',*,*,#4136,.T.); #4138=ORIENTED_EDGE('',*,*,#4093,.T.); #4140=ORIENTED_EDGE('',*,*,#4139,.F.); #4141=EDGE_LOOP('',(#4126,#4128,#4130,#4132,#4134,#4135,#4137,#4138,#4140)); #4142=FACE_OUTER_BOUND('',#4141,.F.); #4144=CARTESIAN_POINT('',(0.E0,-1.450088362806E1,1.498855000577E2)); #4145=DIRECTION('',(0.E0,-9.659258262891E-1,2.588190451025E-1)); #4146=DIRECTION('',(1.E0,0.E0,0.E0)); #4147=AXIS2_PLACEMENT_3D('',#4144,#4145,#4146); #4148=PLANE('',#4147); #4150=ORIENTED_EDGE('',*,*,#4149,.F.); #4152=ORIENTED_EDGE('',*,*,#4151,.F.); #4153=EDGE_LOOP('',(#4150,#4152)); #4154=FACE_OUTER_BOUND('',#4153,.F.); #4155=ORIENTED_EDGE('',*,*,#4125,.F.); #4157=ORIENTED_EDGE('',*,*,#4156,.F.); #4159=ORIENTED_EDGE('',*,*,#4158,.F.); #4161=ORIENTED_EDGE('',*,*,#4160,.F.); #4162=EDGE_LOOP('',(#4155,#4157,#4159,#4161)); #4163=FACE_BOUND('',#4162,.F.); #4165=ORIENTED_EDGE('',*,*,#4164,.F.); #4167=ORIENTED_EDGE('',*,*,#4166,.F.); #4169=ORIENTED_EDGE('',*,*,#4168,.F.); #4170=ORIENTED_EDGE('',*,*,#4131,.F.); #4171=EDGE_LOOP('',(#4165,#4167,#4169,#4170)); #4172=FACE_BOUND('',#4171,.F.); #4174=ORIENTED_EDGE('',*,*,#4173,.T.); #4176=ORIENTED_EDGE('',*,*,#4175,.T.); #4177=EDGE_LOOP('',(#4174,#4176)); #4178=FACE_BOUND('',#4177,.F.); #4180=CARTESIAN_POINT('',(-6.7E-1,-1.384972121052E1,1.600430778942E2)); #4181=DIRECTION('',(-1.E0,0.E0,0.E0)); #4182=DIRECTION('',(0.E0,-2.588190451025E-1,-9.659258262891E-1)); #4183=AXIS2_PLACEMENT_3D('',#4180,#4181,#4182); #4184=PLANE('',#4183); #4186=ORIENTED_EDGE('',*,*,#4185,.T.); #4187=ORIENTED_EDGE('',*,*,#4095,.F.); #4189=ORIENTED_EDGE('',*,*,#4188,.T.); #4190=ORIENTED_EDGE('',*,*,#4160,.T.); #4191=EDGE_LOOP('',(#4186,#4187,#4189,#4190)); #4192=FACE_OUTER_BOUND('',#4191,.F.); #4194=CARTESIAN_POINT('',(-6.7E-1,-1.384972121052E1,1.600430778942E2)); #4195=DIRECTION('',(-1.E0,0.E0,0.E0)); #4196=DIRECTION('',(0.E0,-2.588190451025E-1,-9.659258262891E-1)); #4197=AXIS2_PLACEMENT_3D('',#4194,#4195,#4196); #4198=PLANE('',#4197); #4200=ORIENTED_EDGE('',*,*,#4199,.F.); #4201=ORIENTED_EDGE('',*,*,#4156,.T.); #4202=ORIENTED_EDGE('',*,*,#4139,.T.); #4203=ORIENTED_EDGE('',*,*,#4099,.F.); #4204=EDGE_LOOP('',(#4200,#4201,#4202,#4203)); #4205=FACE_OUTER_BOUND('',#4204,.F.); #4207=CARTESIAN_POINT('',(0.E0,-3.247847751795E0,1.468702581822E2)); #4208=DIRECTION('',(0.E0,-9.659258262891E-1,2.588190451025E-1)); #4209=DIRECTION('',(0.E0,-2.588190451025E-1,-9.659258262891E-1)); #4210=AXIS2_PLACEMENT_3D('',#4207,#4208,#4209); #4211=CYLINDRICAL_SURFACE('',#4210,8.5E0); #4212=ORIENTED_EDGE('',*,*,#4158,.T.); #4213=ORIENTED_EDGE('',*,*,#4199,.T.); #4214=ORIENTED_EDGE('',*,*,#4097,.F.); #4215=ORIENTED_EDGE('',*,*,#4185,.F.); #4216=EDGE_LOOP('',(#4212,#4213,#4214,#4215)); #4217=FACE_OUTER_BOUND('',#4216,.F.); #4219=CARTESIAN_POINT('',(6.3E-1,-1.384972121052E1,1.600430778942E2)); #4220=DIRECTION('',(0.E0,2.588190451025E-1,9.659258262891E-1)); #4221=DIRECTION('',(-1.E0,0.E0,0.E0)); #4222=AXIS2_PLACEMENT_3D('',#4219,#4220,#4221); #4223=PLANE('',#4222); #4224=ORIENTED_EDGE('',*,*,#4188,.F.); #4225=ORIENTED_EDGE('',*,*,#4136,.F.); #4227=ORIENTED_EDGE('',*,*,#4226,.T.); #4228=ORIENTED_EDGE('',*,*,#4129,.F.); #4229=ORIENTED_EDGE('',*,*,#4127,.F.); #4230=EDGE_LOOP('',(#4224,#4225,#4227,#4228,#4229)); #4231=FACE_OUTER_BOUND('',#4230,.F.); #4233=CARTESIAN_POINT('',(6.3E-1,-1.902869030302E1,1.407149021102E2)); #4234=DIRECTION('',(1.E0,0.E0,0.E0)); #4235=DIRECTION('',(0.E0,2.588190451025E-1,9.659258262891E-1)); #4236=AXIS2_PLACEMENT_3D('',#4233,#4234,#4235); #4237=PLANE('',#4236); #4239=ORIENTED_EDGE('',*,*,#4238,.T.); #4240=ORIENTED_EDGE('',*,*,#4111,.F.); #4241=ORIENTED_EDGE('',*,*,#4133,.F.); #4242=ORIENTED_EDGE('',*,*,#4168,.T.); #4243=EDGE_LOOP('',(#4239,#4240,#4241,#4242)); #4244=FACE_OUTER_BOUND('',#4243,.F.); #4246=CARTESIAN_POINT('',(6.3E-1,-1.902869030302E1,1.407149021102E2)); #4247=DIRECTION('',(1.E0,0.E0,0.E0)); #4248=DIRECTION('',(0.E0,2.588190451025E-1,9.659258262891E-1)); #4249=AXIS2_PLACEMENT_3D('',#4246,#4247,#4248); #4250=PLANE('',#4249); #4252=ORIENTED_EDGE('',*,*,#4251,.F.); #4253=ORIENTED_EDGE('',*,*,#4164,.T.); #4254=ORIENTED_EDGE('',*,*,#4226,.F.); #4255=ORIENTED_EDGE('',*,*,#4115,.F.); #4256=EDGE_LOOP('',(#4252,#4253,#4254,#4255)); #4257=FACE_OUTER_BOUND('',#4256,.F.); #4259=CARTESIAN_POINT('',(0.E0,-3.247847751795E0,1.468702581822E2)); #4260=DIRECTION('',(0.E0,-9.659258262891E-1,2.588190451025E-1)); #4261=DIRECTION('',(0.E0,-2.588190451025E-1,-9.659258262891E-1)); #4262=AXIS2_PLACEMENT_3D('',#4259,#4260,#4261); #4263=CYLINDRICAL_SURFACE('',#4262,8.5E0); #4264=ORIENTED_EDGE('',*,*,#4166,.T.); #4265=ORIENTED_EDGE('',*,*,#4251,.T.); #4266=ORIENTED_EDGE('',*,*,#4113,.T.); #4267=ORIENTED_EDGE('',*,*,#4238,.F.); #4268=EDGE_LOOP('',(#4264,#4265,#4266,#4267)); #4269=FACE_OUTER_BOUND('',#4268,.F.); #4271=CARTESIAN_POINT('',(0.E0,-1.643273528064E1,1.504031381479E2)); #4272=DIRECTION('',(0.E0,-9.659258262891E-1,2.588190451025E-1)); #4273=DIRECTION('',(1.E0,0.E0,0.E0)); #4274=AXIS2_PLACEMENT_3D('',#4271,#4272,#4273); #4275=CYLINDRICAL_SURFACE('',#4274,1.025E1); #4276=ORIENTED_EDGE('',*,*,#4149,.T.); #4278=ORIENTED_EDGE('',*,*,#4277,.F.); #4279=ORIENTED_EDGE('',*,*,#4081,.F.); #4281=ORIENTED_EDGE('',*,*,#4280,.T.); #4282=EDGE_LOOP('',(#4276,#4278,#4279,#4281)); #4283=FACE_OUTER_BOUND('',#4282,.F.); #4285=CARTESIAN_POINT('',(0.E0,-1.643273528064E1,1.504031381479E2)); #4286=DIRECTION('',(0.E0,-9.659258262891E-1,2.588190451025E-1)); #4287=DIRECTION('',(1.E0,0.E0,0.E0)); #4288=AXIS2_PLACEMENT_3D('',#4285,#4286,#4287); #4289=CYLINDRICAL_SURFACE('',#4288,1.025E1); #4290=ORIENTED_EDGE('',*,*,#4151,.T.); #4291=ORIENTED_EDGE('',*,*,#4280,.F.); #4292=ORIENTED_EDGE('',*,*,#4083,.F.); #4293=ORIENTED_EDGE('',*,*,#4277,.T.); #4294=EDGE_LOOP('',(#4290,#4291,#4292,#4293)); #4295=FACE_OUTER_BOUND('',#4294,.F.); #4297=CARTESIAN_POINT('',(0.E0,-1.498384654121E1,1.500149095802E2)); #4298=DIRECTION('',(0.E0,9.659258262891E-1,-2.588190451025E-1)); #4299=DIRECTION('',(0.E0,2.588190451025E-1,9.659258262891E-1)); #4300=AXIS2_PLACEMENT_3D('',#4297,#4298,#4299); #4301=CONICAL_SURFACE('',#4300,8.083407273567E0,9.470565938895E0); #4302=ORIENTED_EDGE('',*,*,#4173,.F.); #4304=ORIENTED_EDGE('',*,*,#4303,.F.); #4306=ORIENTED_EDGE('',*,*,#4305,.T.); #4308=ORIENTED_EDGE('',*,*,#4307,.T.); #4309=EDGE_LOOP('',(#4302,#4304,#4306,#4308)); #4310=FACE_OUTER_BOUND('',#4309,.F.); #4312=CARTESIAN_POINT('',(0.E0,-1.498384654121E1,1.500149095802E2)); #4313=DIRECTION('',(0.E0,9.659258262891E-1,-2.588190451025E-1)); #4314=DIRECTION('',(0.E0,2.588190451025E-1,9.659258262891E-1)); #4315=AXIS2_PLACEMENT_3D('',#4312,#4313,#4314); #4316=CONICAL_SURFACE('',#4315,8.083407273567E0,9.470565938895E0); #4317=ORIENTED_EDGE('',*,*,#4175,.F.); #4318=ORIENTED_EDGE('',*,*,#4307,.F.); #4320=ORIENTED_EDGE('',*,*,#4319,.F.); #4321=ORIENTED_EDGE('',*,*,#4303,.T.); #4322=EDGE_LOOP('',(#4317,#4318,#4320,#4321)); #4323=FACE_OUTER_BOUND('',#4322,.F.); #4325=CARTESIAN_POINT('',(0.E0,-1.546680945435E1,1.501443191028E2)); #4326=DIRECTION('',(0.E0,-9.659258262891E-1,2.588190451025E-1)); #4327=DIRECTION('',(0.E0,-2.588190451025E-1,-9.659258262891E-1)); #4328=AXIS2_PLACEMENT_3D('',#4325,#4326,#4327); #4329=PLANE('',#4328); #4330=ORIENTED_EDGE('',*,*,#4319,.T.); #4331=ORIENTED_EDGE('',*,*,#4305,.F.); #4332=EDGE_LOOP('',(#4330,#4331)); #4333=FACE_OUTER_BOUND('',#4332,.F.); #4335=CLOSED_SHELL('',(#1392,#1407,#1421,#1518,#1954,#2085,#2150,#2165,#2657, #2682,#2743,#3836,#3897,#3921,#3936,#3951,#3965,#3977,#3987,#4002,#4015,#4030, #4043,#4058,#4071,#4087,#4103,#4119,#4143,#4179,#4193,#4206,#4218,#4232,#4245, #4258,#4270,#4284,#4296,#4311,#4324,#4334)); #4336=MANIFOLD_SOLID_BREP('',#4335); #4339=PLANE_ANGLE_MEASURE_WITH_UNIT(PLANE_ANGLE_MEASURE(1.745329251994E-2), #4338); #4340=(CONVERSION_BASED_UNIT('DEGREE',#4339)NAMED_UNIT(*)PLANE_ANGLE_UNIT()); #4342=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(2.124126642236E-2),#4337, 'distance_accuracy_value', 'Maximum model space distance between geometric entities at asserted connectivities'); #4345=APPLICATION_CONTEXT('automotive_design'); #4346=APPLICATION_PROTOCOL_DEFINITION('international standard', 'automotive_design',2001,#4345); #4347=PRODUCT_DEFINITION_CONTEXT('part definition',#4345,'design'); #4348=PRODUCT_CONTEXT('',#4345,'mechanical'); #4349=PRODUCT('D2368AA_3DPL','D2368AA_3DPL','NOT SPECIFIED',(#4348)); #4350=PRODUCT_DEFINITION_FORMATION('1','LAST_VERSION',#4349); #4358=DERIVED_UNIT_ELEMENT(#4357,2.E0); #4359=DERIVED_UNIT((#4358)); #4360=MEASURE_REPRESENTATION_ITEM('surface area measure',AREA_MEASURE( 3.323449232501E4),#4359); #4365=DERIVED_UNIT_ELEMENT(#4364,3.E0); #4366=DERIVED_UNIT((#4365)); #4367=MEASURE_REPRESENTATION_ITEM('volume measure',VOLUME_MEASURE( 2.949668773514E5),#4366); #4371=CARTESIAN_POINT('centre point',(1.169571929863E-4,8.262784082275E0, 5.237239280647E1)); #4376=DERIVED_UNIT_ELEMENT(#4375,2.E0); #4377=DERIVED_UNIT((#4376)); #4378=MEASURE_REPRESENTATION_ITEM('surface area measure',AREA_MEASURE( 3.323449232501E4),#4377); #4383=DERIVED_UNIT_ELEMENT(#4382,3.E0); #4384=DERIVED_UNIT((#4383)); #4385=MEASURE_REPRESENTATION_ITEM('volume measure',VOLUME_MEASURE( 2.949668773514E5),#4384); #4389=CARTESIAN_POINT('centre point',(1.169571929863E-4,8.262784082275E0, 5.237239280647E1)); #4394=PRODUCT_RELATED_PRODUCT_CATEGORY('part','',(#4349)); #4396=GENERAL_PROPERTY('','DESCRIPTION','user defined attribute'); #4397=GENERAL_PROPERTY_ASSOCIATION('user defined attribute','',#4396,#4395); #4398=DESCRIPTIVE_REPRESENTATION_ITEM('DESCRIPTION',''); #4402=GENERAL_PROPERTY('','MODELED_BY','user defined attribute'); #4403=GENERAL_PROPERTY_ASSOCIATION('user defined attribute','',#4402,#4401); #4404=DESCRIPTIVE_REPRESENTATION_ITEM('MODELED_BY',''); #4408=GENERAL_PROPERTY('','PROI_CREATED_BY','user defined attribute'); #4409=GENERAL_PROPERTY_ASSOCIATION('user defined attribute','',#4408,#4407); #4410=DESCRIPTIVE_REPRESENTATION_ITEM('PROI_CREATED_BY',''); #4414=GENERAL_PROPERTY('','PROI_CREATED_ON','user defined attribute'); #4415=GENERAL_PROPERTY_ASSOCIATION('user defined attribute','',#4414,#4413); #4416=DESCRIPTIVE_REPRESENTATION_ITEM('PROI_CREATED_ON', '07.03.2016 12:14:05 \X2\0447\X0\.'); #4420=GENERAL_PROPERTY('','PROI_LOCATION','user defined attribute'); #4421=GENERAL_PROPERTY_ASSOCIATION('user defined attribute','',#4420,#4419); #4422=DESCRIPTIVE_REPRESENTATION_ITEM('PROI_LOCATION','Root Folder'); #4426=GENERAL_PROPERTY('','PTC_ORGANIZATION_ID','user defined attribute'); #4427=GENERAL_PROPERTY_ASSOCIATION('user defined attribute','',#4426,#4425); #4428=DESCRIPTIVE_REPRESENTATION_ITEM('PTC_ORGANIZATION_ID','Ideal Standard'); #4432=GENERAL_PROPERTY('','PTC_WM_REVISION','user defined attribute'); #4433=GENERAL_PROPERTY_ASSOCIATION('user defined attribute','',#4432,#4431); #4434=DESCRIPTIVE_REPRESENTATION_ITEM('PTC_WM_REVISION',''); #4438=GENERAL_PROPERTY('','PTC_WM_ITERATION','user defined attribute'); #4439=GENERAL_PROPERTY_ASSOCIATION('user defined attribute','',#4438,#4437); #4440=DESCRIPTIVE_REPRESENTATION_ITEM('PTC_WM_ITERATION','0'); #4444=GENERAL_PROPERTY('','PTC_WM_VERSION','user defined attribute'); #4445=GENERAL_PROPERTY_ASSOCIATION('user defined attribute','',#4444,#4443); #4446=DESCRIPTIVE_REPRESENTATION_ITEM('PTC_WM_VERSION','.0'); #4450=GENERAL_PROPERTY('','PTC_WM_LIFECYCLE_STATE','user defined attribute'); #4451=GENERAL_PROPERTY_ASSOCIATION('user defined attribute','',#4450,#4449); #4452=DESCRIPTIVE_REPRESENTATION_ITEM('PTC_WM_LIFECYCLE_STATE',''); #4456=GENERAL_PROPERTY('','PTC_WM_LIFECYCLE','user defined attribute'); #4457=GENERAL_PROPERTY_ASSOCIATION('user defined attribute','',#4456,#4455); #4458=DESCRIPTIVE_REPRESENTATION_ITEM('PTC_WM_LIFECYCLE',''); #4462=GENERAL_PROPERTY('','PTC_WM_LOCATION','user defined attribute'); #4463=GENERAL_PROPERTY_ASSOCIATION('user defined attribute','',#4462,#4461); #4464=DESCRIPTIVE_REPRESENTATION_ITEM('PTC_WM_LOCATION',''); #4468=GENERAL_PROPERTY('','PTC_WM_CREATED_BY','user defined attribute'); #4469=GENERAL_PROPERTY_ASSOCIATION('user defined attribute','',#4468,#4467); #4470=DESCRIPTIVE_REPRESENTATION_ITEM('PTC_WM_CREATED_BY',''); #4474=GENERAL_PROPERTY('','PTC_WM_CREATED_ON','user defined attribute'); #4475=GENERAL_PROPERTY_ASSOCIATION('user defined attribute','',#4474,#4473); #4476=DESCRIPTIVE_REPRESENTATION_ITEM('PTC_WM_CREATED_ON', '16-Jan-18 09:34:05 AM'); #4480=GENERAL_PROPERTY('','PTC_WM_MODIFIED_BY','user defined attribute'); #4481=GENERAL_PROPERTY_ASSOCIATION('user defined attribute','',#4480,#4479); #4482=DESCRIPTIVE_REPRESENTATION_ITEM('PTC_WM_MODIFIED_BY',''); #4486=GENERAL_PROPERTY('','PTC_WM_MODIFIED_ON','user defined attribute'); #4487=GENERAL_PROPERTY_ASSOCIATION('user defined attribute','',#4486,#4485); #4488=DESCRIPTIVE_REPRESENTATION_ITEM('PTC_WM_MODIFIED_ON', '16-Jan-18 09:34:05 AM'); #4492=GENERAL_PROPERTY('','PTC_WM_TEAM','user defined attribute'); #4493=GENERAL_PROPERTY_ASSOCIATION('user defined attribute','',#4492,#4491); #4494=DESCRIPTIVE_REPRESENTATION_ITEM('PTC_WM_TEAM',''); #4498=GENERAL_PROPERTY('','CNC_APPROVAL','user defined attribute'); #4499=GENERAL_PROPERTY_ASSOCIATION('user defined attribute','',#4498,#4497); #4500=DESCRIPTIVE_REPRESENTATION_ITEM('CNC_APPROVAL','NO'); #4504=GENERAL_PROPERTY('','PTC_MODIFIED','user defined attribute'); #4505=GENERAL_PROPERTY_ASSOCIATION('user defined attribute','',#4504,#4503); #4506=DESCRIPTIVE_REPRESENTATION_ITEM('PTC_MODIFIED','YES'); #4509=DESCRIPTIVE_REPRESENTATION_ITEM('attribute description','Yes No'); #1=DRAUGHTING_PRE_DEFINED_COLOUR('black'); #2=COLOUR_RGB('',0.E0,3.4E-1,1.E0); #3=DRAUGHTING_PRE_DEFINED_COLOUR('green'); #4=COLOUR_RGB('',1.5E-1,8.7E-1,6.2E-1); #5=COLOUR_RGB('',2.E-1,2.E-1,2.E-1); #6=COLOUR_RGB('',3.1E-1,5.8E-1,7.3E-1); #7=COLOUR_RGB('',3.92E-1,4.37E-1,4.9E-1); #8=COLOUR_RGB('',4.1E-1,4.4E-1,5.E-2); #9=COLOUR_RGB('',5.1E-1,3.97E-1,1.07E-1); #10=COLOUR_RGB('',5.5E-1,5.5E-1,5.5E-1); #11=COLOUR_RGB('',6.1E-1,1.E0,1.E0); #12=COLOUR_RGB('',6.7E-1,6.7E-1,6.7E-1); #13=COLOUR_RGB('',6.8E-1,6.1E-1,5.1E-1); #14=COLOUR_RGB('',6.8E-1,7.6E-1,7.4E-1); #15=COLOUR_RGB('',7.3E-1,7.3E-1,6.E-1); #16=COLOUR_RGB('',7.7E-1,6.8E-1,5.8E-1); #17=COLOUR_RGB('',8.E-1,4.3E-1,3.7E-1); #18=COLOUR_RGB('',8.5E-1,6.3E-1,1.E0); #19=COLOUR_RGB('',8.5E-1,8.7E-1,6.7E-1); #20=COLOUR_RGB('',8.6E-1,6.4E-1,0.E0); #21=COLOUR_RGB('',8.6E-1,7.8E-1,8.2E-1); #22=COLOUR_RGB('',9.E-1,9.1E-1,9.1E-1); #23=COLOUR_RGB('',9.82E-1,8.51E-1,8.95E-1); #24=COLOUR_RGB('',1.E0,3.7E-1,3.9E-1); #25=COLOUR_RGB('',1.E0,7.2E-1,0.E0); #26=COLOUR_RGB('',1.E0,7.8E-1,3.8E-1); #27=DRAUGHTING_PRE_DEFINED_COLOUR('yellow'); #28=DRAUGHTING_PRE_DEFINED_COLOUR('white'); #47=B_SPLINE_CURVE_WITH_KNOTS('',3,(#29,#30,#31,#32,#33,#34,#35,#36,#37,#38,#39, #40,#41,#42,#43,#44,#45,#46),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1, 1,4),(0.E0,6.666666666667E-2,1.333333333333E-1,2.E-1,2.666666666667E-1, 3.333333333333E-1,4.E-1,4.666666666667E-1,5.333333333333E-1,6.E-1, 6.666666666667E-1,7.333333333333E-1,8.E-1,8.666666666667E-1,9.333333333333E-1, 1.E0),.UNSPECIFIED.); #53=B_SPLINE_CURVE_WITH_KNOTS('',3,(#48,#49,#50,#51,#52),.UNSPECIFIED.,.F.,.F.,( 4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); #73=B_SPLINE_CURVE_WITH_KNOTS('',3,(#54,#55,#56,#57,#58,#59,#60,#61,#62,#63,#64, #65,#66,#67,#68,#69,#70,#71,#72),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1, 1,1,1,1,4),(0.E0,6.25E-2,1.25E-1,1.875E-1,2.5E-1,3.125E-1,3.75E-1,4.375E-1, 5.E-1,5.625E-1,6.25E-1,6.875E-1,7.5E-1,8.125E-1,8.75E-1,9.375E-1,1.E0), .UNSPECIFIED.); #79=B_SPLINE_CURVE_WITH_KNOTS('',3,(#74,#75,#76,#77,#78),.UNSPECIFIED.,.F.,.F.,( 4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); #106=B_SPLINE_CURVE_WITH_KNOTS('',3,(#88,#89,#90,#91,#92,#93,#94,#95,#96,#97, #98,#99,#100,#101,#102,#103,#104,#105),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,4),(0.E0,6.666666666667E-2,1.333333333333E-1,2.E-1, 2.666666666667E-1,3.333333333333E-1,4.E-1,4.666666666667E-1,5.333333333333E-1, 6.E-1,6.666666666667E-1,7.333333333333E-1,8.E-1,8.666666666667E-1, 9.333333333333E-1,1.E0),.UNSPECIFIED.); #127=B_SPLINE_CURVE_WITH_KNOTS('',3,(#107,#108,#109,#110,#111,#112,#113,#114, #115,#116,#117,#118,#119,#120,#121,#122,#123,#124,#125,#126),.UNSPECIFIED.,.F., .F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0,5.882352941176E-2, 1.176470588235E-1,1.764705882353E-1,2.352941176471E-1,2.941176470588E-1, 3.529411764706E-1,4.117647058824E-1,4.705882352941E-1,5.294117647059E-1, 5.882352941176E-1,6.470588235294E-1,7.058823529412E-1,7.647058823529E-1, 8.235294117647E-1,8.823529411765E-1,9.411764705882E-1,1.E0),.UNSPECIFIED.); #158=B_SPLINE_CURVE_WITH_KNOTS('',3,(#128,#129,#130,#131,#132,#133,#134,#135, #136,#137,#138,#139,#140,#141,#142,#143,#144,#145,#146,#147,#148,#149,#150,#151, #152,#153,#154,#155,#156,#157),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0,3.703703703704E-2,7.407407407407E-2, 1.111111111111E-1,1.481481481481E-1,1.851851851852E-1,2.222222222222E-1, 2.592592592593E-1,2.962962962963E-1,3.333333333333E-1,3.703703703704E-1, 4.074074074074E-1,4.444444444444E-1,4.814814814815E-1,5.185185185185E-1, 5.555555555556E-1,5.925925925926E-1,6.296296296296E-1,6.666666666667E-1, 7.037037037037E-1,7.407407407407E-1,7.777777777778E-1,8.148148148148E-1, 8.518518518519E-1,8.888888888889E-1,9.259259259259E-1,9.629629629630E-1,1.E0), .UNSPECIFIED.); #172=B_SPLINE_CURVE_WITH_KNOTS('',3,(#159,#160,#161,#162,#163,#164,#165,#166, #167,#168,#169,#170,#171),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,4),(0.E0, 1.E-1,2.E-1,3.E-1,4.E-1,5.E-1,6.E-1,7.E-1,8.E-1,9.E-1,1.E0),.UNSPECIFIED.); #441=B_SPLINE_CURVE_WITH_KNOTS('',3,(#173,#174,#175,#176,#177,#178,#179,#180, #181,#182,#183,#184,#185,#186,#187,#188,#189,#190,#191,#192,#193,#194,#195,#196, #197,#198,#199,#200,#201,#202,#203,#204,#205,#206,#207,#208,#209,#210,#211,#212, #213,#214,#215,#216,#217,#218,#219,#220,#221,#222,#223,#224,#225,#226,#227,#228, #229,#230,#231,#232,#233,#234,#235,#236,#237,#238,#239,#240,#241,#242,#243,#244, #245,#246,#247,#248,#249,#250,#251,#252,#253,#254,#255,#256,#257,#258,#259,#260, #261,#262,#263,#264,#265,#266,#267,#268,#269,#270,#271,#272,#273,#274,#275,#276, #277,#278,#279,#280,#281,#282,#283,#284,#285,#286,#287,#288,#289,#290,#291,#292, #293,#294,#295,#296,#297,#298,#299,#300,#301,#302,#303,#304,#305,#306,#307,#308, #309,#310,#311,#312,#313,#314,#315,#316,#317,#318,#319,#320,#321,#322,#323,#324, #325,#326,#327,#328,#329,#330,#331,#332,#333,#334,#335,#336,#337,#338,#339,#340, #341,#342,#343,#344,#345,#346,#347,#348,#349,#350,#351,#352,#353,#354,#355,#356, #357,#358,#359,#360,#361,#362,#363,#364,#365,#366,#367,#368,#369,#370,#371,#372, #373,#374,#375,#376,#377,#378,#379,#380,#381,#382,#383,#384,#385,#386,#387,#388, #389,#390,#391,#392,#393,#394,#395,#396,#397,#398,#399,#400,#401,#402,#403,#404, #405,#406,#407,#408,#409,#410,#411,#412,#413,#414,#415,#416,#417,#418,#419,#420, #421,#422,#423,#424,#425,#426,#427,#428,#429,#430,#431,#432,#433,#434,#435,#436, #437,#438,#439,#440),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,1,4),(0.E0,3.773584905660E-3,7.547169811321E-3,1.132075471698E-2, 1.509433962264E-2,1.886792452830E-2,2.264150943396E-2,2.641509433962E-2, 3.018867924528E-2,3.396226415094E-2,3.773584905660E-2,4.150943396226E-2, 4.528301886792E-2,4.905660377358E-2,5.283018867925E-2,5.660377358491E-2, 6.037735849057E-2,6.415094339623E-2,6.792452830189E-2,7.169811320755E-2, 7.547169811321E-2,7.924528301887E-2,8.301886792453E-2,8.679245283019E-2, 9.056603773585E-2,9.433962264151E-2,9.811320754717E-2,1.018867924528E-1, 1.056603773585E-1,1.094339622642E-1,1.132075471698E-1,1.169811320755E-1, 1.207547169811E-1,1.245283018868E-1,1.283018867925E-1,1.320754716981E-1, 1.358490566038E-1,1.396226415094E-1,1.433962264151E-1,1.471698113208E-1, 1.509433962264E-1,1.547169811321E-1,1.584905660377E-1,1.622641509434E-1, 1.660377358491E-1,1.698113207547E-1,1.735849056604E-1,1.773584905660E-1, 1.811320754717E-1,1.849056603774E-1,1.886792452830E-1,1.924528301887E-1, 1.962264150943E-1,2.E-1,2.037735849057E-1,2.075471698113E-1,2.113207547170E-1, 2.150943396226E-1,2.188679245283E-1,2.226415094340E-1,2.264150943396E-1, 2.301886792453E-1,2.339622641509E-1,2.377358490566E-1,2.415094339623E-1, 2.452830188679E-1,2.490566037736E-1,2.528301886792E-1,2.566037735849E-1, 2.603773584906E-1,2.641509433962E-1,2.679245283019E-1,2.716981132075E-1, 2.754716981132E-1,2.792452830189E-1,2.830188679245E-1,2.867924528302E-1, 2.905660377358E-1,2.943396226415E-1,2.981132075472E-1,3.018867924528E-1, 3.056603773585E-1,3.094339622642E-1,3.132075471698E-1,3.169811320755E-1, 3.207547169811E-1,3.245283018868E-1,3.283018867925E-1,3.320754716981E-1, 3.358490566038E-1,3.396226415094E-1,3.433962264151E-1,3.471698113208E-1, 3.509433962264E-1,3.547169811321E-1,3.584905660377E-1,3.622641509434E-1, 3.660377358491E-1,3.698113207547E-1,3.735849056604E-1,3.773584905660E-1, 3.811320754717E-1,3.849056603774E-1,3.886792452830E-1,3.924528301887E-1, 3.962264150943E-1,4.E-1,4.037735849057E-1,4.075471698113E-1,4.113207547170E-1, 4.150943396226E-1,4.188679245283E-1,4.226415094340E-1,4.264150943396E-1, 4.301886792453E-1,4.339622641509E-1,4.377358490566E-1,4.415094339623E-1, 4.452830188679E-1,4.490566037736E-1,4.528301886792E-1,4.566037735849E-1, 4.603773584906E-1,4.641509433962E-1,4.679245283019E-1,4.716981132075E-1, 4.754716981132E-1,4.792452830189E-1,4.830188679245E-1,4.867924528302E-1, 4.905660377358E-1,4.943396226415E-1,4.981132075472E-1,5.018867924528E-1, 5.056603773585E-1,5.094339622642E-1,5.132075471698E-1,5.169811320755E-1, 5.207547169811E-1,5.245283018868E-1,5.283018867925E-1,5.320754716981E-1, 5.358490566038E-1,5.396226415094E-1,5.433962264151E-1,5.471698113208E-1, 5.509433962264E-1,5.547169811321E-1,5.584905660377E-1,5.622641509434E-1, 5.660377358491E-1,5.698113207547E-1,5.735849056604E-1,5.773584905660E-1, 5.811320754717E-1,5.849056603774E-1,5.886792452830E-1,5.924528301887E-1, 5.962264150943E-1,6.E-1,6.037735849057E-1,6.075471698113E-1,6.113207547170E-1, 6.150943396226E-1,6.188679245283E-1,6.226415094340E-1,6.264150943396E-1, 6.301886792453E-1,6.339622641509E-1,6.377358490566E-1,6.415094339623E-1, 6.452830188679E-1,6.490566037736E-1,6.528301886792E-1,6.566037735849E-1, 6.603773584906E-1,6.641509433962E-1,6.679245283019E-1,6.716981132075E-1, 6.754716981132E-1,6.792452830189E-1,6.830188679245E-1,6.867924528302E-1, 6.905660377358E-1,6.943396226415E-1,6.981132075472E-1,7.018867924528E-1, 7.056603773585E-1,7.094339622642E-1,7.132075471698E-1,7.169811320755E-1, 7.207547169811E-1,7.245283018868E-1,7.283018867925E-1,7.320754716981E-1, 7.358490566038E-1,7.396226415094E-1,7.433962264151E-1,7.471698113208E-1, 7.509433962264E-1,7.547169811321E-1,7.584905660377E-1,7.622641509434E-1, 7.660377358491E-1,7.698113207547E-1,7.735849056604E-1,7.773584905660E-1, 7.811320754717E-1,7.849056603774E-1,7.886792452830E-1,7.924528301887E-1, 7.962264150943E-1,8.E-1,8.037735849057E-1,8.075471698113E-1,8.113207547170E-1, 8.150943396226E-1,8.188679245283E-1,8.226415094340E-1,8.264150943396E-1, 8.301886792453E-1,8.339622641509E-1,8.377358490566E-1,8.415094339623E-1, 8.452830188679E-1,8.490566037736E-1,8.528301886792E-1,8.566037735849E-1, 8.603773584906E-1,8.641509433962E-1,8.679245283019E-1,8.716981132075E-1, 8.754716981132E-1,8.792452830189E-1,8.830188679245E-1,8.867924528302E-1, 8.905660377358E-1,8.943396226415E-1,8.981132075472E-1,9.018867924528E-1, 9.056603773585E-1,9.094339622642E-1,9.132075471698E-1,9.169811320755E-1, 9.207547169811E-1,9.245283018868E-1,9.283018867925E-1,9.320754716981E-1, 9.358490566038E-1,9.396226415094E-1,9.433962264151E-1,9.471698113208E-1, 9.509433962264E-1,9.547169811321E-1,9.584905660377E-1,9.622641509434E-1, 9.660377358491E-1,9.698113207547E-1,9.735849056604E-1,9.773584905660E-1, 9.811320754717E-1,9.849056603774E-1,9.886792452830E-1,9.924528301887E-1, 9.962264150943E-1,1.E0),.UNSPECIFIED.); #455=B_SPLINE_CURVE_WITH_KNOTS('',3,(#442,#443,#444,#445,#446,#447,#448,#449, #450,#451,#452,#453,#454),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,4),(0.E0, 1.E-1,2.E-1,3.E-1,4.E-1,5.E-1,6.E-1,7.E-1,8.E-1,9.E-1,1.E0),.UNSPECIFIED.); #460=CIRCLE('',#459,1.500000075831E0); #491=B_SPLINE_CURVE_WITH_KNOTS('',3,(#461,#462,#463,#464,#465,#466,#467,#468, #469,#470,#471,#472,#473,#474,#475,#476,#477,#478,#479,#480,#481,#482,#483,#484, #485,#486,#487,#488,#489,#490),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0,3.703703703704E-2,7.407407407407E-2, 1.111111111111E-1,1.481481481481E-1,1.851851851852E-1,2.222222222222E-1, 2.592592592593E-1,2.962962962963E-1,3.333333333333E-1,3.703703703704E-1, 4.074074074074E-1,4.444444444444E-1,4.814814814815E-1,5.185185185185E-1, 5.555555555556E-1,5.925925925926E-1,6.296296296296E-1,6.666666666667E-1, 7.037037037037E-1,7.407407407407E-1,7.777777777778E-1,8.148148148148E-1, 8.518518518519E-1,8.888888888889E-1,9.259259259259E-1,9.629629629630E-1,1.E0), .UNSPECIFIED.); #529=B_SPLINE_CURVE_WITH_KNOTS('',3,(#492,#493,#494,#495,#496,#497,#498,#499, #500,#501,#502,#503,#504,#505,#506,#507,#508,#509,#510,#511,#512,#513,#514,#515, #516,#517,#518,#519,#520,#521,#522,#523,#524,#525,#526,#527,#528),.UNSPECIFIED., .F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4), (0.E0,2.462326916413E-2,6.647047654281E-2,1.083176839215E-1,1.501648913002E-1, 1.920120986789E-1,2.129357023682E-1,2.338593060575E-1,2.547829097469E-1, 2.652447115915E-1,2.757065134362E-1,2.897248563452E-1,3.037431992541E-1, 3.317798850720E-1,3.598165708899E-1,3.878532567078E-1,4.439266283435E-1, 4.999999999793E-1,5.560733716151E-1,6.121467432509E-1,6.401834290688E-1, 6.682201148866E-1,6.962568007045E-1,7.102751436135E-1,7.242934865224E-1, 7.347552883671E-1,7.452170902118E-1,7.661406939011E-1,7.870642975904E-1, 8.079879012798E-1,8.498351086585E-1,8.916823160371E-1,9.335295234158E-1, 9.753767307945E-1,1.E0),.UNSPECIFIED.); #534=B_SPLINE_CURVE_WITH_KNOTS('',3,(#530,#531,#532,#533),.UNSPECIFIED.,.F.,.F., (4,4),(0.E0,1.E0),.UNSPECIFIED.); #544=B_SPLINE_CURVE_WITH_KNOTS('',3,(#535,#536,#537,#538,#539,#540,#541,#542, #543),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.937072204010E-1, 3.468536102005E-1,5.E-1,6.531463897995E-1,8.062927795990E-1,1.E0), .UNSPECIFIED.); #549=B_SPLINE_CURVE_WITH_KNOTS('',3,(#545,#546,#547,#548),.UNSPECIFIED.,.F.,.F., (4,4),(0.E0,1.E0),.UNSPECIFIED.); #818=B_SPLINE_CURVE_WITH_KNOTS('',3,(#550,#551,#552,#553,#554,#555,#556,#557, #558,#559,#560,#561,#562,#563,#564,#565,#566,#567,#568,#569,#570,#571,#572,#573, #574,#575,#576,#577,#578,#579,#580,#581,#582,#583,#584,#585,#586,#587,#588,#589, #590,#591,#592,#593,#594,#595,#596,#597,#598,#599,#600,#601,#602,#603,#604,#605, #606,#607,#608,#609,#610,#611,#612,#613,#614,#615,#616,#617,#618,#619,#620,#621, #622,#623,#624,#625,#626,#627,#628,#629,#630,#631,#632,#633,#634,#635,#636,#637, #638,#639,#640,#641,#642,#643,#644,#645,#646,#647,#648,#649,#650,#651,#652,#653, #654,#655,#656,#657,#658,#659,#660,#661,#662,#663,#664,#665,#666,#667,#668,#669, #670,#671,#672,#673,#674,#675,#676,#677,#678,#679,#680,#681,#682,#683,#684,#685, #686,#687,#688,#689,#690,#691,#692,#693,#694,#695,#696,#697,#698,#699,#700,#701, #702,#703,#704,#705,#706,#707,#708,#709,#710,#711,#712,#713,#714,#715,#716,#717, #718,#719,#720,#721,#722,#723,#724,#725,#726,#727,#728,#729,#730,#731,#732,#733, #734,#735,#736,#737,#738,#739,#740,#741,#742,#743,#744,#745,#746,#747,#748,#749, #750,#751,#752,#753,#754,#755,#756,#757,#758,#759,#760,#761,#762,#763,#764,#765, #766,#767,#768,#769,#770,#771,#772,#773,#774,#775,#776,#777,#778,#779,#780,#781, #782,#783,#784,#785,#786,#787,#788,#789,#790,#791,#792,#793,#794,#795,#796,#797, #798,#799,#800,#801,#802,#803,#804,#805,#806,#807,#808,#809,#810,#811,#812,#813, #814,#815,#816,#817),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,1,4),(0.E0,3.773584905660E-3,7.547169811321E-3,1.132075471698E-2, 1.509433962264E-2,1.886792452830E-2,2.264150943396E-2,2.641509433962E-2, 3.018867924528E-2,3.396226415094E-2,3.773584905660E-2,4.150943396226E-2, 4.528301886792E-2,4.905660377358E-2,5.283018867925E-2,5.660377358491E-2, 6.037735849057E-2,6.415094339623E-2,6.792452830189E-2,7.169811320755E-2, 7.547169811321E-2,7.924528301887E-2,8.301886792453E-2,8.679245283019E-2, 9.056603773585E-2,9.433962264151E-2,9.811320754717E-2,1.018867924528E-1, 1.056603773585E-1,1.094339622642E-1,1.132075471698E-1,1.169811320755E-1, 1.207547169811E-1,1.245283018868E-1,1.283018867925E-1,1.320754716981E-1, 1.358490566038E-1,1.396226415094E-1,1.433962264151E-1,1.471698113208E-1, 1.509433962264E-1,1.547169811321E-1,1.584905660377E-1,1.622641509434E-1, 1.660377358491E-1,1.698113207547E-1,1.735849056604E-1,1.773584905660E-1, 1.811320754717E-1,1.849056603774E-1,1.886792452830E-1,1.924528301887E-1, 1.962264150943E-1,2.E-1,2.037735849057E-1,2.075471698113E-1,2.113207547170E-1, 2.150943396226E-1,2.188679245283E-1,2.226415094340E-1,2.264150943396E-1, 2.301886792453E-1,2.339622641509E-1,2.377358490566E-1,2.415094339623E-1, 2.452830188679E-1,2.490566037736E-1,2.528301886792E-1,2.566037735849E-1, 2.603773584906E-1,2.641509433962E-1,2.679245283019E-1,2.716981132075E-1, 2.754716981132E-1,2.792452830189E-1,2.830188679245E-1,2.867924528302E-1, 2.905660377358E-1,2.943396226415E-1,2.981132075472E-1,3.018867924528E-1, 3.056603773585E-1,3.094339622642E-1,3.132075471698E-1,3.169811320755E-1, 3.207547169811E-1,3.245283018868E-1,3.283018867925E-1,3.320754716981E-1, 3.358490566038E-1,3.396226415094E-1,3.433962264151E-1,3.471698113208E-1, 3.509433962264E-1,3.547169811321E-1,3.584905660377E-1,3.622641509434E-1, 3.660377358491E-1,3.698113207547E-1,3.735849056604E-1,3.773584905660E-1, 3.811320754717E-1,3.849056603774E-1,3.886792452830E-1,3.924528301887E-1, 3.962264150943E-1,4.E-1,4.037735849057E-1,4.075471698113E-1,4.113207547170E-1, 4.150943396226E-1,4.188679245283E-1,4.226415094340E-1,4.264150943396E-1, 4.301886792453E-1,4.339622641509E-1,4.377358490566E-1,4.415094339623E-1, 4.452830188679E-1,4.490566037736E-1,4.528301886792E-1,4.566037735849E-1, 4.603773584906E-1,4.641509433962E-1,4.679245283019E-1,4.716981132075E-1, 4.754716981132E-1,4.792452830189E-1,4.830188679245E-1,4.867924528302E-1, 4.905660377358E-1,4.943396226415E-1,4.981132075472E-1,5.018867924528E-1, 5.056603773585E-1,5.094339622642E-1,5.132075471698E-1,5.169811320755E-1, 5.207547169811E-1,5.245283018868E-1,5.283018867925E-1,5.320754716981E-1, 5.358490566038E-1,5.396226415094E-1,5.433962264151E-1,5.471698113208E-1, 5.509433962264E-1,5.547169811321E-1,5.584905660377E-1,5.622641509434E-1, 5.660377358491E-1,5.698113207547E-1,5.735849056604E-1,5.773584905660E-1, 5.811320754717E-1,5.849056603774E-1,5.886792452830E-1,5.924528301887E-1, 5.962264150943E-1,6.E-1,6.037735849057E-1,6.075471698113E-1,6.113207547170E-1, 6.150943396226E-1,6.188679245283E-1,6.226415094340E-1,6.264150943396E-1, 6.301886792453E-1,6.339622641509E-1,6.377358490566E-1,6.415094339623E-1, 6.452830188679E-1,6.490566037736E-1,6.528301886792E-1,6.566037735849E-1, 6.603773584906E-1,6.641509433962E-1,6.679245283019E-1,6.716981132075E-1, 6.754716981132E-1,6.792452830189E-1,6.830188679245E-1,6.867924528302E-1, 6.905660377358E-1,6.943396226415E-1,6.981132075472E-1,7.018867924528E-1, 7.056603773585E-1,7.094339622642E-1,7.132075471698E-1,7.169811320755E-1, 7.207547169811E-1,7.245283018868E-1,7.283018867925E-1,7.320754716981E-1, 7.358490566038E-1,7.396226415094E-1,7.433962264151E-1,7.471698113208E-1, 7.509433962264E-1,7.547169811321E-1,7.584905660377E-1,7.622641509434E-1, 7.660377358491E-1,7.698113207547E-1,7.735849056604E-1,7.773584905660E-1, 7.811320754717E-1,7.849056603774E-1,7.886792452830E-1,7.924528301887E-1, 7.962264150943E-1,8.E-1,8.037735849057E-1,8.075471698113E-1,8.113207547170E-1, 8.150943396226E-1,8.188679245283E-1,8.226415094340E-1,8.264150943396E-1, 8.301886792453E-1,8.339622641509E-1,8.377358490566E-1,8.415094339623E-1, 8.452830188679E-1,8.490566037736E-1,8.528301886792E-1,8.566037735849E-1, 8.603773584906E-1,8.641509433962E-1,8.679245283019E-1,8.716981132075E-1, 8.754716981132E-1,8.792452830189E-1,8.830188679245E-1,8.867924528302E-1, 8.905660377358E-1,8.943396226415E-1,8.981132075472E-1,9.018867924528E-1, 9.056603773585E-1,9.094339622642E-1,9.132075471698E-1,9.169811320755E-1, 9.207547169811E-1,9.245283018868E-1,9.283018867925E-1,9.320754716981E-1, 9.358490566038E-1,9.396226415094E-1,9.433962264151E-1,9.471698113208E-1, 9.509433962264E-1,9.547169811321E-1,9.584905660377E-1,9.622641509434E-1, 9.660377358491E-1,9.698113207547E-1,9.735849056604E-1,9.773584905660E-1, 9.811320754717E-1,9.849056603774E-1,9.886792452830E-1,9.924528301887E-1, 9.962264150943E-1,1.E0),.UNSPECIFIED.); #823=B_SPLINE_CURVE_WITH_KNOTS('',3,(#819,#820,#821,#822),.UNSPECIFIED.,.F.,.F., (4,4),(0.E0,1.E0),.UNSPECIFIED.); #837=B_SPLINE_CURVE_WITH_KNOTS('',3,(#824,#825,#826,#827,#828,#829,#830,#831, #832,#833,#834,#835,#836),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,4),(0.E0, 1.E-1,2.E-1,3.E-1,4.E-1,5.E-1,6.E-1,7.E-1,8.E-1,9.E-1,1.E0),.UNSPECIFIED.); #842=B_SPLINE_CURVE_WITH_KNOTS('',3,(#838,#839,#840,#841),.UNSPECIFIED.,.F.,.F., (4,4),(0.E0,1.E0),.UNSPECIFIED.); #847=CIRCLE('',#846,1.500000175071E0); #852=CIRCLE('',#851,1.499999999965E0); #857=CIRCLE('',#856,1.499999802294E0); #862=B_SPLINE_CURVE_WITH_KNOTS('',3,(#858,#859,#860,#861),.UNSPECIFIED.,.F.,.F., (4,4),(0.E0,1.E0),.UNSPECIFIED.); #876=B_SPLINE_CURVE_WITH_KNOTS('',3,(#863,#864,#865,#866,#867,#868,#869,#870, #871,#872,#873,#874,#875),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,4),(0.E0, 1.E-1,2.E-1,3.E-1,4.E-1,5.E-1,6.E-1,7.E-1,8.E-1,9.E-1,1.E0),.UNSPECIFIED.); #881=B_SPLINE_CURVE_WITH_KNOTS('',3,(#877,#878,#879,#880),.UNSPECIFIED.,.F.,.F., (4,4),(0.E0,1.E0),.UNSPECIFIED.); #886=CIRCLE('',#885,8.1E0); #904=B_SPLINE_CURVE_WITH_KNOTS('',3,(#887,#888,#889,#890,#891,#892,#893,#894, #895,#896,#897,#898,#899,#900,#901,#902,#903),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1, 1,1,1,1,1,1,1,1,1,4),(0.E0,7.142857142857E-2,1.428571428571E-1, 2.142857142857E-1,2.857142857143E-1,3.571428571429E-1,4.285714285714E-1,5.E-1, 5.714285714286E-1,6.428571428571E-1,7.142857142857E-1,7.857142857143E-1, 8.571428571429E-1,9.285714285714E-1,1.E0),.UNSPECIFIED.); #909=CIRCLE('',#908,7.25E0); #914=CIRCLE('',#913,1.E0); #919=CIRCLE('',#918,7.25E0); #924=CIRCLE('',#923,1.E0); #929=CIRCLE('',#928,8.1E0); #952=B_SPLINE_CURVE_WITH_KNOTS('',3,(#934,#935,#936,#937,#938,#939,#940,#941, #942,#943,#944,#945,#946,#947,#948,#949,#950,#951),.UNSPECIFIED.,.F.,.F.,(4,1,1, 1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0,6.666666666667E-2,1.333333333333E-1,2.E-1, 2.666666666667E-1,3.333333333333E-1,4.E-1,4.666666666667E-1,5.333333333333E-1, 6.E-1,6.666666666667E-1,7.333333333333E-1,8.E-1,8.666666666667E-1, 9.333333333333E-1,1.E0),.UNSPECIFIED.); #961=CIRCLE('',#960,1.17E1); #966=CIRCLE('',#965,1.2E1); #971=CIRCLE('',#970,1.17E1); #976=CIRCLE('',#975,3.E-1); #981=CIRCLE('',#980,1.2E1); #986=CIRCLE('',#985,3.E-1); #999=CIRCLE('',#998,1.2E1); #1004=CIRCLE('',#1003,1.2E1); #1009=CIRCLE('',#1008,1.17E1); #1014=CIRCLE('',#1013,3.E-1); #1019=CIRCLE('',#1018,3.E-1); #1024=CIRCLE('',#1023,1.17E1); #1033=CIRCLE('',#1032,8.5E0); #1046=CIRCLE('',#1045,8.5E0); #1055=CIRCLE('',#1054,1.025E1); #1060=CIRCLE('',#1059,1.025E1); #1065=CIRCLE('',#1064,1.E1); #1071=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1066,#1067,#1068,#1069,#1070), .UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); #1077=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1072,#1073,#1074,#1075,#1076), .UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); #1082=CIRCLE('',#1081,1.E1); #1087=CIRCLE('',#1086,1.E1); #1092=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1088,#1089,#1090,#1091),.UNSPECIFIED., .F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); #1097=CIRCLE('',#1096,1.E1); #1118=CIRCLE('',#1117,8.166814547134E0); #1123=CIRCLE('',#1122,8.166814547134E0); #1136=CIRCLE('',#1135,8.5E0); #1157=CIRCLE('',#1156,8.5E0); #1170=CIRCLE('',#1169,1.025E1); #1179=CIRCLE('',#1178,1.025E1); #1188=CIRCLE('',#1187,8.E0); #1197=CIRCLE('',#1196,8.E0); #1382=EDGE_CURVE('',#1287,#1288,#47,.T.); #1384=EDGE_CURVE('',#1288,#1286,#53,.T.); #1386=EDGE_CURVE('',#1285,#1286,#73,.T.); #1388=EDGE_CURVE('',#1285,#1287,#79,.T.); #1392=ADVANCED_FACE('',(#1391),#1381,.F.); #1399=EDGE_CURVE('',#1287,#1258,#87,.T.); #1401=EDGE_CURVE('',#1259,#1258,#971,.T.); #1403=EDGE_CURVE('',#1288,#1259,#83,.T.); #1407=ADVANCED_FACE('',(#1406),#1397,.T.); #1413=EDGE_CURVE('',#1288,#1287,#106,.T.); #1416=EDGE_CURVE('',#1258,#1259,#961,.T.); #1421=ADVANCED_FACE('',(#1420),#1412,.T.); #1513=EDGE_CURVE('',#1286,#1285,#127,.T.); #1518=ADVANCED_FACE('',(#1517),#1510,.T.); #1934=EDGE_CURVE('',#1204,#1205,#158,.T.); #1936=EDGE_CURVE('',#1205,#1208,#172,.T.); #1938=EDGE_CURVE('',#1208,#1209,#441,.T.); #1940=EDGE_CURVE('',#1209,#1204,#455,.T.); #1944=EDGE_CURVE('',#1214,#1215,#904,.T.); #1946=EDGE_CURVE('',#1215,#1214,#952,.T.); #1954=ADVANCED_FACE('',(#1943,#1949,#1953),#1933,.T.); #2076=EDGE_CURVE('',#1202,#1203,#491,.T.); #2078=EDGE_CURVE('',#1203,#1205,#857,.T.); #2081=EDGE_CURVE('',#1202,#1204,#460,.T.); #2085=ADVANCED_FACE('',(#2084),#2075,.T.); #2141=EDGE_CURVE('',#1212,#1213,#544,.T.); #2143=EDGE_CURVE('',#1213,#1203,#881,.T.); #2146=EDGE_CURVE('',#1212,#1202,#823,.T.); #2150=ADVANCED_FACE('',(#2149),#2140,.F.); #2156=EDGE_CURVE('',#1210,#1211,#529,.T.); #2158=EDGE_CURVE('',#1213,#1210,#534,.T.); #2161=EDGE_CURVE('',#1211,#1212,#549,.T.); #2165=ADVANCED_FACE('',(#2164),#2155,.F.); #2649=EDGE_CURVE('',#1211,#1207,#842,.T.); #2651=EDGE_CURVE('',#1206,#1207,#818,.T.); #2653=EDGE_CURVE('',#1210,#1206,#862,.T.); #2657=ADVANCED_FACE('',(#2656),#2647,.T.); #2677=EDGE_CURVE('',#1207,#1202,#837,.T.); #2682=ADVANCED_FACE('',(#2681),#2674,.T.); #2736=EDGE_CURVE('',#1207,#1209,#847,.T.); #2743=ADVANCED_FACE('',(#2742),#2735,.T.); #3832=EDGE_CURVE('',#1206,#1208,#852,.T.); #3836=ADVANCED_FACE('',(#3835),#3828,.T.); #3893=EDGE_CURVE('',#1203,#1206,#876,.T.); #3897=ADVANCED_FACE('',(#3896),#3889,.T.); #3921=ADVANCED_FACE('',(#3920),#3914,.F.); #3927=EDGE_CURVE('',#1291,#1292,#886,.T.); #3929=EDGE_CURVE('',#1292,#1215,#956,.T.); #3932=EDGE_CURVE('',#1291,#1214,#933,.T.); #3936=ADVANCED_FACE('',(#3935),#3926,.F.); #3943=EDGE_CURVE('',#1291,#1218,#924,.T.); #3945=EDGE_CURVE('',#1218,#1219,#909,.T.); #3947=EDGE_CURVE('',#1292,#1219,#914,.T.); #3951=ADVANCED_FACE('',(#3950),#3941,.T.); #3957=EDGE_CURVE('',#1292,#1291,#929,.T.); #3960=EDGE_CURVE('',#1218,#1219,#919,.T.); #3965=ADVANCED_FACE('',(#3964),#3956,.T.); #3977=ADVANCED_FACE('',(#3976),#3970,.F.); #3987=ADVANCED_FACE('',(#3986),#3982,.T.); #3994=EDGE_CURVE('',#1225,#1259,#986,.T.); #3996=EDGE_CURVE('',#1225,#1231,#966,.T.); #3998=EDGE_CURVE('',#1231,#1258,#976,.T.); #4002=ADVANCED_FACE('',(#4001),#3992,.T.); #4010=EDGE_CURVE('',#1225,#1231,#981,.T.); #4015=ADVANCED_FACE('',(#4014),#4007,.T.); #4021=EDGE_CURVE('',#1223,#1225,#990,.T.); #4024=EDGE_CURVE('',#1229,#1231,#994,.T.); #4026=EDGE_CURVE('',#1223,#1229,#999,.T.); #4030=ADVANCED_FACE('',(#4029),#4020,.T.); #4037=EDGE_CURVE('',#1223,#1229,#1004,.T.); #4043=ADVANCED_FACE('',(#4042),#4035,.T.); #4049=EDGE_CURVE('',#1222,#1223,#1014,.T.); #4051=EDGE_CURVE('',#1222,#1228,#1009,.T.); #4053=EDGE_CURVE('',#1228,#1229,#1019,.T.); #4058=ADVANCED_FACE('',(#4057),#4048,.T.); #4067=EDGE_CURVE('',#1222,#1228,#1024,.T.); #4071=ADVANCED_FACE('',(#4070),#4063,.T.); #4081=EDGE_CURVE('',#1246,#1247,#1055,.T.); #4083=EDGE_CURVE('',#1247,#1246,#1060,.T.); #4087=ADVANCED_FACE('',(#4080,#4086),#4076,.T.); #4093=EDGE_CURVE('',#1249,#1251,#1097,.T.); #4095=EDGE_CURVE('',#1249,#1234,#1028,.T.); #4097=EDGE_CURVE('',#1234,#1235,#1033,.T.); #4099=EDGE_CURVE('',#1235,#1251,#1037,.T.); #4103=ADVANCED_FACE('',(#4102),#4092,.T.); #4109=EDGE_CURVE('',#1253,#1242,#1087,.T.); #4111=EDGE_CURVE('',#1253,#1239,#1041,.T.); #4113=EDGE_CURVE('',#1238,#1239,#1046,.T.); #4115=EDGE_CURVE('',#1238,#1242,#1050,.T.); #4119=ADVANCED_FACE('',(#4118),#4108,.T.); #4125=EDGE_CURVE('',#1273,#1255,#1065,.T.); #4127=EDGE_CURVE('',#1255,#1276,#1071,.T.); #4129=EDGE_CURVE('',#1276,#1243,#1077,.T.); #4131=EDGE_CURVE('',#1243,#1275,#1082,.T.); #4133=EDGE_CURVE('',#1275,#1253,#1152,.T.); #4136=EDGE_CURVE('',#1242,#1249,#1092,.T.); #4139=EDGE_CURVE('',#1273,#1251,#1131,.T.); #4143=ADVANCED_FACE('',(#4142),#4124,.T.); #4149=EDGE_CURVE('',#1270,#1271,#1170,.T.); #4151=EDGE_CURVE('',#1271,#1270,#1179,.T.); #4156=EDGE_CURVE('',#1263,#1273,#1101,.T.); #4158=EDGE_CURVE('',#1262,#1263,#1136,.T.); #4160=EDGE_CURVE('',#1255,#1262,#1105,.T.); #4164=EDGE_CURVE('',#1267,#1243,#1109,.T.); #4166=EDGE_CURVE('',#1266,#1267,#1157,.T.); #4168=EDGE_CURVE('',#1275,#1266,#1113,.T.); #4173=EDGE_CURVE('',#1283,#1284,#1118,.T.); #4175=EDGE_CURVE('',#1284,#1283,#1123,.T.); #4179=ADVANCED_FACE('',(#4154,#4163,#4172,#4178),#4148,.T.); #4185=EDGE_CURVE('',#1262,#1234,#1144,.T.); #4188=EDGE_CURVE('',#1249,#1255,#1127,.T.); #4193=ADVANCED_FACE('',(#4192),#4184,.F.); #4199=EDGE_CURVE('',#1263,#1235,#1140,.T.); #4206=ADVANCED_FACE('',(#4205),#4198,.F.); #4218=ADVANCED_FACE('',(#4217),#4211,.F.); #4226=EDGE_CURVE('',#1242,#1243,#1148,.T.); #4232=ADVANCED_FACE('',(#4231),#4223,.F.); #4238=EDGE_CURVE('',#1266,#1239,#1165,.T.); #4245=ADVANCED_FACE('',(#4244),#4237,.F.); #4251=EDGE_CURVE('',#1267,#1238,#1161,.T.); #4258=ADVANCED_FACE('',(#4257),#4250,.F.); #4270=ADVANCED_FACE('',(#4269),#4263,.F.); #4277=EDGE_CURVE('',#1247,#1271,#1183,.T.); #4280=EDGE_CURVE('',#1246,#1270,#1174,.T.); #4284=ADVANCED_FACE('',(#4283),#4275,.F.); #4296=ADVANCED_FACE('',(#4295),#4289,.F.); #4303=EDGE_CURVE('',#1279,#1283,#1201,.T.); #4305=EDGE_CURVE('',#1279,#1280,#1188,.T.); #4307=EDGE_CURVE('',#1280,#1284,#1192,.T.); #4311=ADVANCED_FACE('',(#4310),#4301,.T.); #4319=EDGE_CURVE('',#1279,#1280,#1197,.T.); #4324=ADVANCED_FACE('',(#4323),#4316,.T.); #4334=ADVANCED_FACE('',(#4333),#4329,.T.); #4337=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); #4338=(NAMED_UNIT(*)PLANE_ANGLE_UNIT()SI_UNIT($,.RADIAN.)); #4341=(NAMED_UNIT(*)SI_UNIT($,.STERADIAN.)SOLID_ANGLE_UNIT()); #4343=(GEOMETRIC_REPRESENTATION_CONTEXT(3)GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT(( #4342))GLOBAL_UNIT_ASSIGNED_CONTEXT((#4337,#4340,#4341))REPRESENTATION_CONTEXT( 'ID1','3')); #4344=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#4336),#4343); #4351=PRODUCT_DEFINITION('part definition','',#4350,#4347); #4352=PRODUCT_DEFINITION_SHAPE('','SHAPE FOR D2368AA_3DPL.',#4351); #4353=SHAPE_ASPECT('','solid data associated with D2368AA_3DPL',#4352,.F.); #4354=PROPERTY_DEFINITION('', 'shape for solid data with which properties are associated',#4353); #4355=SHAPE_REPRESENTATION('',(#4336),#4343); #4356=SHAPE_DEFINITION_REPRESENTATION(#4354,#4355); #4357=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); #4361=PROPERTY_DEFINITION('geometric validation property', 'area of D2368AA_3DPL',#4353); #4362=REPRESENTATION('surface area',(#4360),#4343); #4363=PROPERTY_DEFINITION_REPRESENTATION(#4361,#4362); #4364=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); #4368=PROPERTY_DEFINITION('geometric validation property', 'volume of D2368AA_3DPL',#4353); #4369=REPRESENTATION('volume',(#4367),#4343); #4370=PROPERTY_DEFINITION_REPRESENTATION(#4368,#4369); #4372=PROPERTY_DEFINITION('geometric validation property', 'centroid of D2368AA_3DPL',#4353); #4373=REPRESENTATION('centroid',(#4371),#4343); #4374=PROPERTY_DEFINITION_REPRESENTATION(#4372,#4373); #4375=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); #4379=PROPERTY_DEFINITION('geometric validation property', 'area of D2368AA_3DPL',#4352); #4380=REPRESENTATION('surface area',(#4378),#4343); #4381=PROPERTY_DEFINITION_REPRESENTATION(#4379,#4380); #4382=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); #4386=PROPERTY_DEFINITION('geometric validation property', 'volume of D2368AA_3DPL',#4352); #4387=REPRESENTATION('volume',(#4385),#4343); #4388=PROPERTY_DEFINITION_REPRESENTATION(#4386,#4387); #4390=PROPERTY_DEFINITION('geometric validation property', 'centroid of D2368AA_3DPL',#4352); #4391=REPRESENTATION('centroid',(#4389),#4343); #4392=PROPERTY_DEFINITION_REPRESENTATION(#4390,#4391); #4393=SHAPE_DEFINITION_REPRESENTATION(#4352,#4344); #4395=PROPERTY_DEFINITION('DESCRIPTION','user defined attribute',#4351); #4399=REPRESENTATION('',(#4398),#4343); #4400=PROPERTY_DEFINITION_REPRESENTATION(#4395,#4399); #4401=PROPERTY_DEFINITION('MODELED_BY','user defined attribute',#4351); #4405=REPRESENTATION('',(#4404),#4343); #4406=PROPERTY_DEFINITION_REPRESENTATION(#4401,#4405); #4407=PROPERTY_DEFINITION('PROI_CREATED_BY','user defined attribute',#4351); #4411=REPRESENTATION('',(#4410),#4343); #4412=PROPERTY_DEFINITION_REPRESENTATION(#4407,#4411); #4413=PROPERTY_DEFINITION('PROI_CREATED_ON','user defined attribute',#4351); #4417=REPRESENTATION('',(#4416),#4343); #4418=PROPERTY_DEFINITION_REPRESENTATION(#4413,#4417); #4419=PROPERTY_DEFINITION('PROI_LOCATION','user defined attribute',#4351); #4423=REPRESENTATION('',(#4422),#4343); #4424=PROPERTY_DEFINITION_REPRESENTATION(#4419,#4423); #4425=PROPERTY_DEFINITION('PTC_ORGANIZATION_ID','user defined attribute',#4351); #4429=REPRESENTATION('',(#4428),#4343); #4430=PROPERTY_DEFINITION_REPRESENTATION(#4425,#4429); #4431=PROPERTY_DEFINITION('PTC_WM_REVISION','user defined attribute',#4351); #4435=REPRESENTATION('',(#4434),#4343); #4436=PROPERTY_DEFINITION_REPRESENTATION(#4431,#4435); #4437=PROPERTY_DEFINITION('PTC_WM_ITERATION','user defined attribute',#4351); #4441=REPRESENTATION('',(#4440),#4343); #4442=PROPERTY_DEFINITION_REPRESENTATION(#4437,#4441); #4443=PROPERTY_DEFINITION('PTC_WM_VERSION','user defined attribute',#4351); #4447=REPRESENTATION('',(#4446),#4343); #4448=PROPERTY_DEFINITION_REPRESENTATION(#4443,#4447); #4449=PROPERTY_DEFINITION('PTC_WM_LIFECYCLE_STATE','user defined attribute', #4351); #4453=REPRESENTATION('',(#4452),#4343); #4454=PROPERTY_DEFINITION_REPRESENTATION(#4449,#4453); #4455=PROPERTY_DEFINITION('PTC_WM_LIFECYCLE','user defined attribute',#4351); #4459=REPRESENTATION('',(#4458),#4343); #4460=PROPERTY_DEFINITION_REPRESENTATION(#4455,#4459); #4461=PROPERTY_DEFINITION('PTC_WM_LOCATION','user defined attribute',#4351); #4465=REPRESENTATION('',(#4464),#4343); #4466=PROPERTY_DEFINITION_REPRESENTATION(#4461,#4465); #4467=PROPERTY_DEFINITION('PTC_WM_CREATED_BY','user defined attribute',#4351); #4471=REPRESENTATION('',(#4470),#4343); #4472=PROPERTY_DEFINITION_REPRESENTATION(#4467,#4471); #4473=PROPERTY_DEFINITION('PTC_WM_CREATED_ON','user defined attribute',#4351); #4477=REPRESENTATION('',(#4476),#4343); #4478=PROPERTY_DEFINITION_REPRESENTATION(#4473,#4477); #4479=PROPERTY_DEFINITION('PTC_WM_MODIFIED_BY','user defined attribute',#4351); #4483=REPRESENTATION('',(#4482),#4343); #4484=PROPERTY_DEFINITION_REPRESENTATION(#4479,#4483); #4485=PROPERTY_DEFINITION('PTC_WM_MODIFIED_ON','user defined attribute',#4351); #4489=REPRESENTATION('',(#4488),#4343); #4490=PROPERTY_DEFINITION_REPRESENTATION(#4485,#4489); #4491=PROPERTY_DEFINITION('PTC_WM_TEAM','user defined attribute',#4351); #4495=REPRESENTATION('',(#4494),#4343); #4496=PROPERTY_DEFINITION_REPRESENTATION(#4491,#4495); #4497=PROPERTY_DEFINITION('CNC_APPROVAL','user defined attribute',#4351); #4501=REPRESENTATION('',(#4500),#4343); #4502=PROPERTY_DEFINITION_REPRESENTATION(#4497,#4501); #4503=PROPERTY_DEFINITION('PTC_MODIFIED','user defined attribute',#4351); #4507=REPRESENTATION('',(#4506),#4343); #4508=PROPERTY_DEFINITION_REPRESENTATION(#4503,#4507); #4510=REPRESENTATION('',(#4509),#4343); #4511=PROPERTY_DEFINITION('attribute type designation','Yes No',#4504); #4512=PROPERTY_DEFINITION_REPRESENTATION(#4511,#4510); ENDSEC; END-ISO-10303-21;